未经作者允许,请勿发布该文档!yingqichen@sjtu.edu.cn.pptVIP

未经作者允许,请勿发布该文档!yingqichen@sjtu.edu.cn.ppt

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
未经作者允许,请勿发布该文档!yingqichen@sjtu.edu.cn

?未经作者允许,请勿发布该文档! yingqichen@ VHDL Simulation Synthesis 基本逻辑电路设计 门电路 与非门,或非门,反相器,多输入与门 编码器,译码器,多路选择器 运算器 加法器,加减法器,乘法器,求补器 存储器 ROM,RAM,FIFO 时序逻辑电路设计 触发器 D,T, JK 寄存器 8b通用寄存器,循环移位寄存器, 计数器 同步,可逆,具有数据加载,进位控制,清零,置位功能的计数器,异步计数器 condsig.vhd Library IEEE ; use IEEE.std_logic_1164.all ; ENTITY condsig IS PORT ( input0, input1, sel : IN BIT; output : OUT BIT); END condsig; ARCHITECTURE maxpld OF condsig IS BEGIN output = input0 WHEN sel = 0 ELSE input1; END maxpld; Reg12.vhd Library IEEE ; use IEEE.std_logic_1164.all ; ENTITY reg12 IS PORT( d : IN BIT_VECTOR(11 DOWNTO 0); clk : IN BIT; q : OUT BIT_VECTOR(11 DOWNTO 0)); END reg12; ARCHITECTURE a OF reg12 IS BEGIN PROCESS BEGIN WAIT UNTIL clk = 1; q = d; END PROCESS; END a; Comparator8.vhd library IEEE; use IEEE.Std_logic_1164.all; entity HCT688 is port(Q, P : in std_logic_vector(7 downto 0); GBAR : in std_logic; PEQ : out std_logic); end HCT688; architecture VER1 of HCT688 is begin PEQ = 0 when ((To_X01(P) = To_X01(Q)) and (GBAR = 0)) else 1; end VER1; Comparator8.vhd (1) Latchinf.vhd Library IEEE ; use IEEE.std_logic_1164.all ; ENTITY latchinf IS PORT ( enable, data : IN BIT; q : OUT BIT); END latchinf; ARCHITECTURE maxpld OF latchinf IS BEGIN latch : PROCESS (enable, data) BEGIN IF (enable = 1) THEN q = data; END IF; END PROCESS latch; END maxpld; conversion_altera.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY adder IS PORT (op1, op2 : IN UNSIGNED(7 downto 0); result : OUT INTEGER); END adder; ARCHITECTURE maxpld OF adder IS BEGIN result = CONV_INTEGER(op1 + op2); END maxpld; condsigm.vhd Library IEEE ; use IEEE.std_logic_1164.all ; ENTITY condsigm IS PORT ( high, mid, low : IN BIT; q : OUT INTEGER); END condsigm; ARCHITECTURE maxpld OF condsigm IS BEGIN q = 3 WHEN high = 1 ELSE -- when high 2 WHEN mid = 1 ELSE -- when mid but not high 1 WHEN low = 1 ELSE -- when low but not mid

文档评论(0)

l215322 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档