计算机原理与汇编汇编语言基础.ppt

  1. 1、本文档共76页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
指令语句: [标号:]助记符 [操作数[,操作数]][;注释] 伪指令语句:[名字] 定义符 [参数,...,参数][;注释] 2. 等号语句 格式: 符号 = 表达式 CONT=5 NUM=10H NUM=NUM+20H 表达式形式同上,但可多次重新定义同一符号。 数据类型 算术运算符 移位运算符不能对寄存器或存储单元进行移位运算 MOV AX,CX SHL 5 MOV DX,DATA1 SHR 3 LENGTH与SIZE运算符 LENGTH运算符 返回数组变量的元素个数 如果变量用DUP定义,Length为外层DUP的值 如果没有DUP定义,结果为1 SIZE运算符 数组变量所占的总字节数 SIZE是LENGTH和TYPE的乘积 THIS:THIS 类型 THIS常与等值语句EQU一起用,形成新变量名或标号,使它们具有THIS后所指的类型,而段基值和偏移量与紧接的变量名或标号相同。 例: DATA _BYTE EQU THIS BYTE DATA _WORD DW 20H DUP(0) JUMP_FAR EQU THIS FAR JUMP_NEAR : XOR AX, AX 组合类型(Combine Type): STACK:与PUBLIC段相似,但此段为堆栈段,系统自动对SS寄存器和SP指针初始化。 AT表达式:本段的起始单元可以定位在表达式所示的节边界上。 MEMORY:本段定位在所有其它段的最高地址,有多个段,只把第一个遇到的当作此段。 类别名(Class):用户给定,在链接时把相同的段存储在连续的存储区 。 八、其它伪指令 1. ORG , $ ORG 表达式 将表达式的值赋给位置计数器 $ 存放位置计数器的当前值 八、其它伪指令 2. EVEN 伪指令 定位偶地址 3. INCLUDE伪指令 INCLUDE 文件名 INCLUDE 文件名 例:INCLUDE FILE.MAC INCLUDE B:\MASM\ABC.ASM 4. PUBLIC PUBLIC 符号1,符号2, 八、其它伪指令 5. TITLE (标题)伪指令,指定一个标题 格式:TITLE 文本 字符不超过80个 例: TITLE EXAMPLE PROGRAM 九、宏指令 例: MULTAX10 MACRO PUSH BX SAL AX,1 MOV BX,AX SAL AX,1 SAL AX,1 ADD AX,BX POP BX ENDM 用连续相加的办法实现无符号数乘法运算 MULTIP MACRO MULT1 , MULT2 , MULT3 LOCAL LOP , EXIT0 MOV DX , MULT1 MOV CX , MULT2 XOR BX , BX XOR AX , AX JCXZ EXIT0 LOP: ADD BX , DX ADC AX , 0 LOOP LOP EXIT0: MOV MULT3 , BX MOV MULT3+2 , AX ENDM P205 1,3 P206 5,7,9 P208 14 + MOV BX , AX + SAL AX , 1 + SAL AX , 1 + ADD AX , BX + POP BX ... EXCHANGE DA_W01 , DA_W02 , CX :宏指令语句 + MOV CX , DA_W01 + XCHG CX , DA_W02 + MOV DA_W01 , CX ... COSEG ENDS END START 4. 宏操作符 连接操作符 定义:SHIFT_VAR MACRO R_M , DIRECT, COUNT MOV CL , COUNT SDIRECT R_M , CL ENDM 调用:SHIFT_VAR AX,HL,2 SHL AX,CL SHIFT_VAR AX,HR,2 SHR AX,CL SHIF0 MACRO CNT MOV CL , CNT END

文档评论(0)

wuyoujun92 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档