VerilogHDL简易时钟层次化设计程序代码.doc

VerilogHDL简易时钟层次化设计程序代码.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VerilogHDL简易时钟层次化设计程序代码

VerilogHDL简易时钟的层次化设计程序代码 module top(clk0,clk1,q,s); input clk1,clk0; output[7:0] q; //reg[7:0] q; output[2:0]s; //reg[2:0]s; wire[23:0] w; cnt60 m0(clk1,w[7:0],cp0); //秒计数模块 cnt60 m1(cp0,w[15:8],cp1); //分计数模块 cnt24 m2(w[23:16],cp1); //时计数模块 wx m3(clk0,clk1,c,s,w[3:0],w[11:8],q,w[19:16],w[6:4],w[14:12],w[21:20]); endmodule module wx(clk0,clk1,c,s,c0,c3,q,c6,c1,c4,c7); input clk0,clk1; input[3:0] c0,c3; input[2:0] c1,c4; input[3:0] c6; input[1:0]c7; output[7:0]q; reg[7:0]q; output [2:0]s; output [3:0]c; reg [3:0]c; reg [2:0]s1,s; //位选 always@(posedge clk0) begin if(s13b111)s1=s1+1b1; else s1=3b000; case(s1) 3b000:begin s=3b000;c=c0;end 3b001:begin s=3b001;c=c1;end 3b011:begin s=3b011;c=c3;end 3b100:begin s=3b100;c=c4;end 3b110:begin s=3b110;c=c6;end 3b111:begin s=3b111;c=c7;end default begin s=3b000;c=c0;end endcase end //always@(posedge clk1) (有误,不要) always@(c) case(c) 4b0000:q=8 4b0001:q=8 4b0010:q=8 4b0011:q=8 4b0100:q=8 4b0101:q=8 4b0110:q=8 4b0111:q=8 4b1000:q=8 4b1001:q=8 default q=8 endcase endmodule //60计数 module cnt60(clk,qs,co); input clk; output [7:0] qs; output co; reg[7:0] qs; reg co; always@(posedge clk) begin if(qs[7:4]!=5) begin if(qs[3:0]==4b1001) begin qs[7:4]=qs[7:4]+1b1;qs[3:0]=4b0000;co=1b0;end else begin qs[7:4]=qs[7:4];qs[3:0]=qs[3:0]+1b1;co=1b0;end end else if(qs[3:0]==4b1001) begin qs[7:4]=4b0000;qs[3:0]=4b0000;co=1b1;end else begin qs[7:4]=qs[7:4];qs[3:0]=qs[3:0]+1b1;co=1b0;end end endmodule // 24计数(无误) module cnt24(qh,clk); input clk; output [7:0] qh; reg[7:0] qh; always@(posedge clk) begin if(qh[7:4]!=2) begin

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档