vhdl数据类型转换.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
vhdl数据类型转换

HYPERLINK /vhdl/vhdl-225.htm /vhdl/vhdl-225.htm VHDL数据类型的转换 3.数据类型的转换 在VHDL程序中,不同类型的对象不能代入,因此要进行类型转换.类型转换的方法有: (1)类型标记法.用类型名称来实现关系密切的标量类型之间的 转换. 例如: VARIABLE x:INTEGER; VARIABLE y:REAL; 使用类型标记(即类型名)实现类型转换时,可采用赋值语句: x :=INTEGER(y); y :=REAL(x). (2)类型函数法. VHDL程序包中提供了多种转换函数,使得某些类型的数据之间可以相互转换,以实现正确的赋值操作.常用的类型转换函数有: ★CONV_INTEGER ( ):将STD_LOGIC_VECTOR类型转换成 INTEGER类型. ★CONV_STD_LOGIC_VECTOR( ):将INTEGER类型,UNSIGNED 类型或SIGNED类型转换成STD_LOGIC_VECTOR类型. ★TO_BIT( ):将STD_LOGIC类型转换成BIT类型. ★TO_BIT_VECTOR( ):将STD_LOGIC_VECTOR类型转换 BIT_VECTOR类型. ★TO_STD_LOGIC( ):将BIT类型转换成STD_LOGIC类型. ★TO_STD_LOGIC_VECTOR( ):将BIT_VECTOR类型转换成 STD_LOGIC_VECTOR类型. 注意:引用时必须首先 打开库和相应的程序包. 该函数由STD_LOGIC_UNSIGNED 程序包定义 该函数由STD_LOGIC_ARITH 程序包定义 以下函数由STD_LOGIC_1164 -0----------------------------------------------- 类型转换 类 型 变 换 函 数 由STD_LOGIC_VECTOR转换成INTEGER STD_LOGIC_UNSIGNED包含集 CONV_INTEGER(A) 由INTEGER,UNSDGNED,SIGNED转换成STD_LOGIC_VECTOR 由UNSIGNED,SIGNED转换成INTEGER STD_LOGIC_ARITH包集合 CONV_STD_LOGIC_VECTOR(A,位长) CONV_INTEGER(A) 由BIT_VECTOR转换为STD_LOGIC_VECTOR 由STD_LOGIC_VECTO转换为BIT_VECTOR 由BIT转换成STD_LOGIC 由STD_LOGIC转换成BIT STD_LOGIC_1164包集合 TO_STDLOGICVECTOR(A) TO_BITVECTOR(A) TO_STDLOGIC(A) TO_BIT(A) 功 能 函 数 名 由STD_LOGIC_VECTOR变换成INTEGER的实例 LIBRARY IEEE; USE IEEESTD_LOGIC_1164.ALL; USE IEEESTD_LOGIC_UNSIGNED.ALL; ENTITY add5 IS PORT (num:INSTD_LOGIC_VECTOR (2 DOWNTO 0); ┇ ); END add5; ARCHITECTURE rtl OF add5 IS SIGNAL in_num:INTEGER RANGE 0 TO 5; ┇ BEGIN in_num=CONV_INTEGER (num);位矢量转换成整数变换式 ┇ END rtl; ^0^ ------------------------ 函????数????名????????????????????????????????????功??????????能 STD_LOGIC_1164包集合?????????? TO_STDLOGICVECTOR(A)?由BIT_VECTOR转换为STD_LOGIC_VECTOR TO_BITVECTOR(A)???????????由STD_LOGIC_VECTO转换为BIT_VECTOR TO_STDLOGIC(A)?????????????由BIT转换成STD_LOGIC TO_BIT(A)?????????????????? ???由STD_LOGIC转换成BIT STD_LOGIC_ARITH包集合 CONV_STD_LOGIC_VECTOR(A,由INTEGER,UNSDGNED,SIGNED转换 位长)?????????????????????????????????????? STD_LOGIC_VECTOR CONV_INTEGER(A)?????????????????由UNSIGNED,SIGNED转换成INTEGER STD_LOGIC_UN

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档