VHDL结构和实体介绍.ppt

  1. 1、本文档共37页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL结构和实体介绍

VHDL的结构以及实体介绍(P25);本课要了解的问题;复习:集成电路EDA的设计流程;MaxPlus II 设计流程 ;原理图输入与HDL输入的比较;一、VHDL语言;二、一个VHDL程序;USE定义区;VHDL程序最完整的组成:;实体;三、库语句简介(P30-33);LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; 格式:LIBRARY 库名; USE 库名. 程序包名. 项目名; 第一句是打开IEEE库;第二句是使用IEEE库中STD_LOGIC_1164程序包的所有内容。 如果项目名是ALL,表明开放库中指定程序包内的所有内容。 ;三、实体语句(P25-28); 注意;四、端口说明语句(P28);端口的工作模式及硬件模型;数据类型(P39-42);数据类型的范围;IEEE预定义标准逻辑位和矢量(P41-42);2. 标准逻辑矢量(STD_LOGIC_VECTOR)数据类型 STD_LOGIC_VECTOR是数组,数组中每个元素的数据类型都是标准逻辑位STD_LOGIC。 只有同位宽、同数据类型的矢量之间才能进行赋值。  在使用STD_LOGIC_VECTOR时,要打开IEEE 库中相应的程序包:   LIBRARY IEEE;   USE IEEE.STD_LOGIC_1164.ALL; ;典型端口的定义和硬件模型;整数类型端口:端口由一组传递二进制信号的的导线组成,利用多个二进制信号来表示一个整数数值的信号。 如counter: IN INTEGER RANGE 0 TO 5; 定义一个输入端口counter,取值范围0~5,可用3位二进制数表示,因此counter被综合成3条信号线构成的总线形式。;标准逻辑位矢量类型端口:端口由一组导线组成,矢量的位数决定了导线的数量,导线上标准逻辑定义的电平信号。 如data_out: OUT STD_LOGIC_VECTOR(0 to 7) ;;练习1;请解释下列VHDL语句,并写出硬件模型。 c: OUT STD_LOGIC_VECTOR (0 TO 7); d: BUFFER INTEGER range 0 TO 9;;四、类属说明语句(P28);类属说明语句表示的硬件模型;ENTITY votern IS GENERIC( n: INTEGER:=17); PORT(a: IN BIT_vector(n-1 DOWNTO 0); c: OUT BIT); END votern; ARCHITECTURE behav OF votern IS BEGIN PROCESS(a) VARIABLE int: INTEGER RANGE 0 TO n; BEGIN int :=‘0’; FOR i IN a’LENGTH-1 DOWNTO 0 LOOP IF a(i)=‘0’ THEN int:=int+1; END IF; END LOOP; IF (int((n+1)/2)) THEN c=‘1’; ELSE c=‘0’; END IF; END PROCESS; END behav;;ENTITY andn IS GENERIC( n: INTEGER); PORT(a: IN BIT(n-1 DOWNTO 0); c: OUT BIT); END andn; ARCHITECTURE behav OF andn IS BEGIN PROCESS(a) VARIABLE int: BIT; BEGIN int :=‘1’; FOR i In a’LENGTH-1 DOWNTO 0 LOOP IF a(i)=‘0’ THEN int:=‘0’; END IF; END LOOP; c=int; END PROCESS; END andn;;ENTITY exn_behav IS PORT(d1, d2, d3, d4, d5, d6, d7: IN STD_LOGIC; q1, q2: OUT STD_LOGIC); END exn_

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档