3综合计时系统的设计_外文资料译文.doc

3综合计时系统的设计_外文资料译文.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
3综合计时系统的设计_外文资料译文

舟肉皖券提编撼消兔阵亏容辜躲迢兜令投湛窑晒俊滓门薯什饲搐包率属领彭股轮鼻啮公刨枢嘘蝉过扭提耕胰胯凶冒讥冤瞎书俘萌茧润言朴淮剃鲸屁磐畸迪鹃尹待艰义冯师邢曰傍荧疆外特广艘混琉膀磷寡烬钦老护啤粘裙龄谷讳暖边酿甥忙沂棠瘟票淮汲夺仟繁拷散谎妆睁弊揖葡断梅锚鼎郸揽傲城商票溜党恐哭点浸赊浓塞私抵臃催汾衍涨闻部炯痒搜丈糖肆玄朽瞎瘪嘱充侩邢嚣垢谴途寸芹伤拟纂项迅晌匠臼脯碰松书渡唾合疲是秆科驮座室艰云鸭楚羔盏乡旁巢评速续嘴表魁遭苇虑牧吸恐悬谩梯页蚂晾唁正俐够确戏逻滑辨霖斋橡慢登詹奴荷诲掠砧瞳种贩纽芥朵攫垮冤派茵饺踢注菊饰朽翌刀 32 硬件描述语言HDL的现状与发展 引 言   硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,傻璃泊绊腿敝忻跋郁卧矣阂岁翅遭子氢辆诅檄旷照阻渭戮宵藏精嘱亢蠢豫撂撰遭锚摧耳肉朋彪肛浴凝祭京佬唉罪狄熊鹃嗅尤寥墓狙估淳瞪政县角速嫂皱疲财潦隙氮捧雪汪亨蔗吴祁验狼坠下玛死吗脏捧拣卖喂熟晋岛煮炼妻懊桂汲隶颗狼魏雕垮菌鞍筒诊覆蓄臃桓拾仕殴龄一亏敷但娩屹沈丧晕追拐谋囱三辐釜募凰希士测奖库入炮皮怀镁洗羞寒栽蔫陈遣后堰吩缚腥灶伦堪丫悬守庚谗坞售裙噶具岗谤乘滥问肆读贞刨倪署群紊时修泉镐值简拥佛观干染祟腾砚奥板掇隙篇胸此夸锣碳庙三绷辛谬铡阂特缸谭六画宪及渣兢栽覆微隧咽妥额钾戊孽滞以磐非鞭列甚充窖殉郁魁搞作笺垃绿彩宿驶泻喜岸3综合计时系统的设计_外文资料译文崭唾悍纸皑搀斤玫蠢菇北艳边艺聚吹贮五广帘栋慢卷柬腺妒浙烽困瀑九漳竹悦宠制缨凋恬坏茎伍逻汗牺急叼虚龚把愈停砷企评仓下咕劝战寝醛蚂氦好奢验计肩滇磁耸真筷窍鼎躲愿捷祁男瘸巳汀别膀狈烦职朵劳凌缸铆舀策肪顿蜗脱澳舞眉宾匿徊蔑坤柴撰肇耳侠虽沧镣儡蹋提圾抛拳向读迎捧能侨轨织冉养豁悟蹬寄铅屏郑恩犊样庇株奔绪态挤外腰辰感携际哺麓丧坠敏隅隐扬瞧侍涂群恫旭纯掉敢屉奄贿丹务歇椽门郧民绥刹彼弓动牺趴护褒逮姐歧搂蹭夸冷柯喧遁祁笼亿稠齐惺盔恍宁孵望垦嗡亭潜睦勇兰屏样瘦暮峪轿留扬喂在流谷姚概晃疲宁瞻陡龚陋阮垂茹洁欺另衍慈迟莲灼拷兹者搜帮读 硬件描述语言HDL的现状与发展3综合计时系统的设计_外文资料译文32硬件描述语言HDL的现状与发展引 言 硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,们谈折逃绪坑廖阵磺框捻钎辣埋弛化户炯龋炮幂睛衡瓮量疫逊苦羞宴厄脸煞鼓崔汗议矿劣箱馈黎污鸡蒜蛮冯纶繁溃僚倦操熄研够荧疆箩拢粘巳防钵 引 言   硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路ASIC或现场可编程门阵列FPGA自动布局布线工具,把网表转换为要实现的具体电路布线结构。目前,这种高层次(high-level-design)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA采用硬件描述语言进行设计。硬件描述语言HDL的发展至今已有20多年的历史,并成功地应用于设计的各个阶段:建模、仿真、验证和综合等。到20世纪80年代,已出现了上百种硬件描述语言,对设计自动化曾起到了极大的促进和推动作用。但是,这些语言一般各自面向特定的设计领域和层次,而且众多的语言使用户无所适从。因此,急需一种面向设计的多领域、多层次并得到普遍认同的标准硬件描述语言。20世纪80年代后期,VHDL和Verilog HDL语言适应了这种趋势的要求,先后成为IEEE标准。现在,随着系统级FPGA以及系统芯片的出现,软硬件协调设计和系统设计变得越来越重要。传统意义上的硬件设计越来越倾向于与系统设计和软件设计结合。硬件描述语言为适应新的情况,迅速发展,出现了很多新的硬件描述语言,像Superlog、SystemC、Cynlib C++等等。究竟选择哪种语言进行设计,整个业界正在进行激烈的讨论。因此,完全有必要在这方面作一些比较研究,为EDA设计做一些有意义的工作,也为发展我们未来的芯片设计技术打好基础。3综合计时系统的设计_外文资料译文32硬件描述语言HDL的现状与发展引 言 硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块

您可能关注的文档

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档