VHDL主要描述语句――CASE语句课件.ppt

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL主要描述语句――CASE语句课件

VHDL主要描述语句――CASE语句;2.试修改如下程序中出现的错误并分析其可以实现的功能.;二、新授;三、例题(试分析如下程序可以实现什么功能);对比总结:以上两个程序表明,一些功能相同的描述,可以用IF语句实现,也可以用 CASE语句实现.但是两者还是有区别的.首先,在IF语句中,先处理最起始 的条件,如果不满足才会处理下一个条件.而在CASE语句中,没有值的顺 序号,所有的值是并行处理的.因此WHEN项中已用过的值,如果在后面 WHEN项中再次使用,那在语法上错误的.也就是说,表达式的值不能重 复使用.如下列程序: CASE SEL IS WHEN 0 = Q = I1 ; WHEN 1 TO 3 = Q = I1 ; WHEN 2 TO 5 = Q = I2 ; WHEN 5 TO 7 = Q = I3 ; WHEN OTHER = Q = I4 ; END CASE ; ;四、练习(用CASE 语句设计一个四选一数据选择器);LIBRARY IEEE; USE IEEE.STD-LOGIC-1164.ALL; ENTITY 4SEL IS PORT ( I0,I1,I2,I3: IN STD-LOGIC ; A,B:IN STD-LOGIC ; Q:OUT STD-LOGIC ) ; END 4SEL ; ARCHITECTURE A OF 4SEL IS SIGNAL D : STD-LOGIC-VECTOR(2 DOWNTO 0); D=A B ; PROCESS( D ) END A; ;五、思考题

文档评论(0)

dmdt5055 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档