- 1、本文档共12页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
元件类语句:结构描述
元件类语句: 结构描述
具体描述电路各元件的端口及其连接;
以基本元件为基础逐级构建;
元件:已经定义的电路模块(实体),可以来自标准库中,也
可以是自己或他人以前编译过的实体;
元件的基本要点: 元件名 输入/输出端口特点;
设计的要点:建立端口之间的连接;
元件语句的一般描述方式
结构体形式:
Architecture str of 实体名 is
元件说明; (电路设计中使用的元件及端口)
类型说明;
信号说明; (电路设计中各中间连接点)
begin
元件使用语句; (端口与信号(中间连接点及输入
/输出端点)的连接关系)
end str;
元件说明:
component 元件名
port (信号名:模式 信号类型;
…….
信号名:模式 信号类型);
end component;
要点:
元件说明与电路实体说明的编写方式非常相似;
模块名称和对应端口名称应完全一致;其端口排列顺序也应
该完全一致;
所用的电路实体应在work 库或已说明的库中;
例:已有元件的程序为
library ieee;
use ieee.std_logic_1164.all;
entity kand2 is
port(a, b: in std_logic;
y: out std_logic);
end kand2;
architecture d of kand2 is
begin
y = a and b;
end d;
元件说明语句为:
component kand2
port (a, b: in std_logic;
y: out std_logic);
end component;
元件使用语句:
元件编号:元件名 port map (信号对应表);
元件使用语句要点:
对每一元件应该指定唯一的编号;
元件名称应该与已经有的元件名称完全一致;
信号对应表:将本元件的各端口与外部的信号接点或端口建
立连接;每个连接应该具有一个唯一的名称;
顺序关联法:按本元件各端口顺序排列连接点;
名称关联法:将本元件各端口名称逐一与对应的连接点
名称联系起来;
例 希望将上述kand 输入与data,en 连接,输出与out 连接
原来的元件:port (a, b: in std_logic;
y: out std_logic );
使用的设置: 顺序法 port (data,en,out );
名称法 port (a=data,y=out,b=en );
结构设计的示例:
z x y ⋅ (带有元件说明语句的使用)
例1 inhibit 门
library ieee;
use ieee.std_logic_1164.all;
entity butnot is
port (x,y: in std_logic;
z: out std_logic);
end butnot;
architecture str of butnot is
signal temp: std_logic;
component kinv port (a: in std_logic; y: out std_logic);
end component;
component kand2 port (a,b: in std_logic; y: out std_logic);
end component;
begin
u1: kinv port map(y,temp);
u2: kand2 port
您可能关注的文档
- 仿射密码.PPT
- 仲景大厨房股份有限公司首次公开发行股票并在创业板-中国证监会.PDF
- 任务实施车辆发动机号.PPT
- 企业会财选修部分会计单元–成本会计.PDF
- 企业税收分类编码设置.DOC
- 企业设立登记-深圳政府在线.DOC
- 任务1编码电路的安装与测试HEP.PPT
- 企业能量平衡-节能兰州.PPT
- 伊旗地区土地荒漠化面积动态变化治理⑴控制人口增长.PPT
- 优势与风险.PPT
- 2025年一级建造师考试《水利水电工程管理与实务》冲刺必刷卷.docx
- 2025年一级建造师考试《水利水电工程管理与实务》逆袭破题卷1.docx
- 2025年一级建造师考试《市政工程管理与实务》冲刺必刷卷 .docx
- 2025年一级造价工程师考试《建设工程计价》预习卷.docx
- 2025年一级造价工程师考试《建设工程造价管理》预习卷.docx
- 2025年一级造价工程师考试《建设工程造价案例分析(安装专业)》预习卷.docx
- 2025年一级造价工程师考试《建设工程造价案例分析(土建专业)》预习卷.docx
- 2025年中级会计考试《会计实务》冲刺提分卷.docx
- 2025年中级会计考试《财务管理》冲刺提分卷.docx
- 2025年中级会计考试《财务管理》全真模拟卷.docx
文档评论(0)