VHDL硬件语言与可编程逻辑器件发展.doc

VHDL硬件语言与可编程逻辑器件发展.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL硬件语言与可编程逻辑器件发展

VHDL语言及可编程逻辑器件的发展 院系:能源与动力工程学院 班级:xxxxxx 学号:xxxxxx 姓名:xxx 时间:2010/11/28 摘要:本文简要描述了VHDL语言及可编程逻辑器件的发展。通过介绍VHDL语言及可编程逻辑器件的发展历程及未来发展趋势,很好的揭示了它们在数字电路设计领域的广泛应用。 关键字:VHDL 硬件描述 可编程逻辑器件 数字电路设计 引言 自从20世纪70年代TTL标准化逻辑元件面市以来,数字电路设计便进入了所谓逻辑门层设计时代。工程师第一次能以极便宜的价格,买到一组标准的逻辑门元件,用以进行电路的组装及验证。从此,逻辑元件设计便与数字电路设计分了家。逻辑元件设计者,专心致力于提供性能更加优异的逻辑门,而数字电路工程师则专注在数字电路的逻辑分析与综合方面。从而使数字电路的设计,告别了晶体管层次设计时代。 VHDL语言的发展历程 随着集成电路制造技术的进步,集成电路向大规模、高密度、高速度的方向发展,电子系统的设计愈来愈复杂,人们希望运用计算机强大的运算能力来协助人们设计电路和分析电路,于是各种电子设计自动化及计算机辅助设计(CAD) 工具应运而生,它们使得数字电路的设计进入了高层次设计的新时代——采用硬件描述语言设计数字电路与系统。 20世纪70年代末,美国国防部电子系统项目拥有很多的承包公司。由于各个公司的文化背景和技术等方面的原因,导致各个公司的很多产品不能够兼容,同时由于设计语言的不同也导致了开发成果不能重复利用,从而造成了各个公司之间信息的交换和产品维护的困难。于是美国国防部提出了VHSIC(Very High Speed Integrated Circuit)计划,目的是采用一种新的描述方法来进行新一代集成电路的设计。同时便于管理有关武器承包商的电子电路技术文件,使其遵循统一的设计描述界面,以便将来在有新技术推出时,仍能重复再使用设计。 硬件描述语言(HDL)是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。它是硬件电路设计人员与EDA工具之间沟通的桥梁,其主要目的是用来编写设计文件、建立电子系统行为级的模拟模型,即利用计算机的巨大能力对用VHDL建模的复杂数字逻辑进行模拟,然后再利用逻辑综合工具自动生成符合要求且在电路结构上可以实现的数字逻辑网表。根据网表和某种工艺进行版图设计,然后生成该工艺条件下电路的延时模型,模拟验证无误后用于制造ASIC芯片或者写入CPLD 和FPGA 器件中。在EDA 技术领域中把用HDL语言建立的数字模型称为软核,把用HDL建模和综合后生成的网表称为固核。这些模块的重复再使用,缩短了开发时间,提高了产品设计、开发的效率。 1981 年6月,工作小组在美国国防部组织上正式成立,不久提出了一种新的硬件描述语言——VHDL(VHSIC Hardware Description Language)。提出这个语言的目标只是使电路文本化成为标准,目的是为了使文本描述的电路设计能够为其他人所理解,同时也可以作为一种模型语言并能采用软件进行仿真。 1983 年第三季度,由IBM公司、TI公司、Intermetrics公司成立开发小组,开发小组的任务就是提出语言版本和软件开发环境。1986年,IEEE 标准化组织开始讨论VHDL语言标准,同时成立了一个VHDL标准化小组。1987 年12月,IEEE公布了VHDL的第一个工业标准版本并宣布实施,即IEEE 1076标准。1988年,Milstd454规定所有为美国国防部设计的ASIC产品必须采用VHDL来进行描述。1993年,经过几年的修订和扩展,IEEE公布了VHDL的新版本IEEE1164。1996年,IEEE1076.3成为VHDL的综合标准。 硬件描述语言HDL的特点 = 1 \* GB2 ⑴HDL支持数字电路的设计、验证、综合和测试,可以在行为域和结构域对数字系统建模,支持这两个域的所有描述层次。能够支持模拟电路的描述的HDL尚在研究开发之中。 = 2 \* GB2 ⑵HDL既是包含一些高级程序设计语言的结构形式,又是兼顾描述硬件电路连接的具体构件。 = 3 \* GB2 ⑶HDL是并发的,即具有在同一时刻执行多任务的能力。一般来讲,程序设计语言是串行的,但在实际硬件中许多操作都是在同一时刻发生的,所以HDL语言具有并发的特征。 = 4 \* GB2 ⑷HDL有时序的概念。一般地说,程序设计语言是没有时序概念的,但在硬件电路中,从输入到输出总是有延时存在。为描述这些特征,HDL 需要建立时序的概念。因此使用HDL 除了可以描述硬件电路的功能外,还可以描述其时序要求。 VHDL系统设计的特点及优势 与其他硬件描述语言相比,VHDL具有以下特点: (1)功能强大、设计灵活 VHDL具有功能强大的

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档