基于QuartusII以及74ls192为核心的简易数字时钟设计.doc

基于QuartusII以及74ls192为核心的简易数字时钟设计.doc

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于QuartusII以及74ls192为核心的简易数字时钟设计

基于QuartusII以及74ls192为核心的简易数字时钟设计 版权信息:重庆文理学院电子电气工程学院 作者:电子信息科学与技术 学生 廖智星 该项目是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,保持和整点报时功能,并下载到FPGA实验系统中进行调试和验证。此外还添加了显示星期,使得设计的数字钟的功能更加完善。 关键字:QuartusII EDA FPGA 数字钟 74ls192 This experiment is to design a digital clock using QuartusII software, debugging test design and simulation, to achieve timing, timing, school hours, maintain and the whole point timekeeping function, and download the debugging and verification of FPGA experimental system. In addition also added that week, making digital clock design features more perfect. Keywords: QuartusII EDA FPGA 74LS192 digital clock 目录 设计要求……………………………………………1 工作原理……………………………………………1 各模块设计的说明…………………………………2 4.实物效果……………………………………………7 5、总结………………………………………………11 一、设计要求 设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、调时的基本功能。 具体要求如下: 能进行正常的时、分、秒计时功能,最大计时显示23小时59分59秒。 分别由7个数码管显示,前面6个进行时分秒的计时显示,最后一个。进行星期的显示;并且使用两个4位一体为数码管和7个独立数码管一起显示; 点动开关Key1 对星期进行加计数 点动开关Key2 对时进行加计数 点动开关key3 对分进行加计数 点动开关Key4 对秒进行加计数 7) 星期显示:星期显示功能是在数字钟界面显示星期,到计时到24小时时,星期上显示的数据进一位。 8 ) 保持电路: 停止计时并保持显示时间不变。 工作原理 数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,控制电路按由按键电路组成。其中,脉冲发生电路将实验开发板的50Mhz的频率分成电路所需要的频率来使用;计时电路将与静、动态显示电路相连,将时间与星期显示在七段数码管上,保持电路作用时,系统停止计时并保持时间不变。 其原理框图如图所示: 各模块设计的说明 1.分频模块 我实现分频的方法是采用多个74ls192对50MHz的平率进行计数,由于74ls192每计十个脉冲从进位输出一个脉冲,下一个74ls192计上一个74ls192进位端输出一的个脉开始计,相当于下一个74ls192计了100个脉冲才输出一个脉冲,下一个计1000次才输出一个脉冲,考虑到占空比,我特意做了一个仿真测试:对下图,1、2输出端进行时序仿真,一定是时序仿真!若用功能仿真清零端没延时,则输出总会被清零! 下面进行仿真 为了使其输出不衰减,抗干扰能力强让其和vcc相与在输出,后面的如法炮制,进行分频, 由于时序延时我最终的1s脉冲是通过多次示波器仿真得到的:下图是从50MHz分出1s的设计图: 并将左图生成顶层宏模块。 计时和译码模块 我采用74ls192十进制计数器和74248 4-7线译码器和实现计时和译码 连接方法如图所示 74ls192十进制计数器的功能强大可从0—9任意数开始计到任意数并输出相应值:, , 十进制接法 六十进制接法 如法炮制做时分秒和星期如下图所示 动态数码管驱动电路设计 我用74ls192的每1s计数一次的功能当数据选择器用来实现对4位一体数码管的使能端进行控制,方法同任意计数时反馈到清零端时一样,这里只不过反馈到4位一体数码管的使能端罢了,还用与门将该反馈叠加到译码器的输出端控制是哪个译码器输出,设计如下图所示: 整个设计际图: 简单剖析: 四.实物效果 效果演示图:当前时间为14点28分0秒星期4 4 FPGA开发板芯片为EP2C5T144C8N,在淘宝上可以买到 静态7个led数码管 动态显示led数码管 将开发板和芯片连线 点动开关部分 五、总结: 我们要适应软件Quartus和用途我们需要掌握数字逻辑电路

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档