1. 1、本文档被系统程序自动判定探测到侵权嫌疑,本站暂时做下架处理。
  2. 2、如果您确认为侵权,可联系本站左侧在线QQ客服请求删除。我们会保证在24小时内做出处理,应急电话:400-050-0827。
  3. 3、此文档由网友上传,因疑似侵权的原因,本站不提供该文档下载,只提供部分内容试读。如果您是出版社/作者,看到后可认领文档,您也可以联系本站进行批量认领。
查看更多
ISE教程的

第 1 节 ISE 套件的介绍与安装 4.1.1 ISE 简要介绍 Xilinx 是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的 高级集成电路、软件设计工具以及定义系统级功能的 IP (Intellectual Property)核,长期 以来一直推动着 FPGA 技术的发展。Xilinx 的开发工具也在不断地升级,由早期的Foundation 系列逐步发展到目前的 ISE 9.1i 系列,集成了 FPGA 开发需要的所有功能,其主要特点有: • 包含了 Xilinx 新型 SmartCompile 技术,可以将实现时间缩减 2.5 倍,能在最短的时 间内提供最高的性能,提供了一个功能强大的设计收敛环境; • 全面支持 Virtex-5 系列器件(业界首款 65nm FPGA); • 集成式的时序收敛环境有助于快速、轻松地识别 FPGA 设计的瓶颈; • 可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。 Foundation Series ISE 具有界面友好、操作简单的特点,再加上 Xilinx 的 FPGA 芯片占有很 大的市场,使其成为非常通用的 FPGA 工具软件。ISE 作为高效的 EDA 设计工具集合,与第 三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的 Xilinx 平台。 4.1.2 ISE 功能简介 ISE 的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了 FPGA 开发的全过程,从 功能上讲,其工作流程无需借助任何第三方 EDA 软件。 • 设计输入:ISE 提供的设计输入工具包括用于 HDL 代码输入和查看报告的 ISE 文本 编辑器(The ISE Text Editor ),用于原理图编辑的工具 ECS (The Engineering Capture System ),用于生成IP Core 的Core Generator,用于状态机设计的 StateCAD 以及用于约 束文件编辑的 Constraint Editor 等。 • 综合:ISE 的综合工具不但包含了Xilinx 自身提供的综合工具XST,同时还可以内嵌 Mentor Graphics 公司的 LeonardoSpectrum 和 Synplicity 公司的 Synplify,实现无缝链接。 • 仿真:ISE 本身自带了一个具有图形化波形编辑功能的仿真工具 HDL Bencher,同 时又提供了使用 Model Tech 公司的 Modelsim 进行仿真的接口。 • 实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增 量设计等高级功能。 • 下载:下载功能包括了 BitGen,用于将布局布线后的设计文件转换为位流文件,还 包括了 ImPACT,功能是进行设备配置和通信,控制将程序烧写到 FPGA 芯片中去。 • 使用 ISE 进行 FPGA 设计的各个过程可能涉及到的设计工具如表 4-1 所示。 表 4-1 ISE 设计工具表 4.1.3 ISE 软件的安装 E9.1 软件安装的基本硬件要求如下:CPU 在 P III 以上,内存大于256M,硬盘大于4G 的 硬件环境安装。为了更好地使用软件,至少需要 512M 内存,CPU 的主频在 2GHz 以上。本 书使用的集成开发环境是 ISE 9.1,仿真工具是 ModelSim 6.2b,综合工具为 Synplify Pro 8.8。 其中 ISE、ModelSim 软件和 Synplify 软件不同版本之间的差异不是很大,所以操作和设计 结果的差别也是很小的。具体安装过程如下: 1.光盘放进 DVD 光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的 Setup.exe 文件程序即可),会弹出图 4-1 所示的欢迎界面,点击“Next”进入下一页。 图4-1 ISE 安装过程的欢迎界面 2.接着进入注册码获取、输入对话框,如图4-2 所示。注册码可以通过网站、邮件和传真 方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。 图4-2 I

文档评论(0)

ayangjiayu5 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档