- 1、本文档共13页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《EDA技术应用》
课程设计报告
专 业: 通信工程
班 级: 09301
姓 名: 张 虎
指导教师:
2013年 5 月 22 日
目录
一、设计任务 1
二、设计方案 2
三、设计内容及程序 3
(一)抢答鉴别及锁存模块 3
(二)计分模块 5
(三)计时模块 6
(四)译码显示模块 7
(五)分频模块 8
(六)抢答器的顶层原理图设计 9
四、总结 10
五、心得与体会 10
六、参考文献 11
一、设计任务
进一步掌握QUARTUSⅡ软件的使用方法;
会使用VHDL语言设计小型数字电路系统;
掌握应用QUARTUSⅡ软件设计电路的流程;
掌握电子抢答器的设计方法。
(二)设计要求
(1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。
(2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。
(3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。
(4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加10分,答错一次减1分。
(5)具有犯规设置电路。对提前抢答者和超时抢答者,给予鸣喇叭警示,并显示规范组别。
二、设计方案
系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号CLR,系统时钟信号CLK,计分复位端CLR,加分按钮端ADD,计时使能端EN;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LED_A、LED_B、LED_C、LED_D表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(分频模块,输出显示模块)。
系统组成框图
三、各模块设计
(一)抢答鉴别及锁存模块
抢答队伍共分为四组A,B,C,D。当主持人按下STA键后,对应的START指示灯亮,四组队伍才可以按抢答键抢答,即抢答信号A,B,C,D输入电路中后,通过判断是哪个信号最先为‘1’得出抢答成功的组别1,2,3或4组,将组别号输出到相应端A1,B1,C1,D1,并将组别序号换算为四位二进制信号输出到STATES[3..0]端锁存,等待输出到计分和显示单元。同时RING端在有成功抢答的情况下发出警报。其模块如下:
抢答鉴别模块
1抢答鉴别及锁存源程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity qdjb is
port(STA,RST:in std_logic;
A,B,C,D:in std_logic;
A1,B1,C1,D1,START:out std_logic;
STATES:out std_logic_vector(3 downto 0));
end qdjb;
architecture one of qdjb is
signal sinor,ringf,tmp,two:std_logic;
begin
sinor=(A XOR B) XOR (C XOR D);
two=A and B;
process(A,B,C,D,RST,tmp)
begin
if RST=1 then
tmp=1;
A1=0; B1=0; C1=0; D1=0;START=0;STATES=0000;
elsif tmp=1 then
if STA=1 then
START=1;
if (A=1AND B=0AND C=0AND D=0 ) then
A1=1; B1=0; C1=0; D1=0; STATE
您可能关注的文档
- 电力系统工程500千伏变电站电气方案设计书.doc
- 古城子河橡胶坝施工组织方案设计书(综合修改好的).doc
- 机自091班课程方案设计书.doc
- 基于PLC的液位控制系统的方案设计书.doc
- 海悦电子施工组织方案设计书.doc
- 施工组织方案设计书审批制度.doc
- 中学施工组织方案设计书.doc
- 方案设计书报告完成.doc
- PLC多种_液体混合装置控制的方案设计书.doc
- PLC自动门控制方案设计书.doc
- 抢分秘籍10 带电粒子电场中的直线运动、偏转和交变电电场中的应用(三大题型)(原卷版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍11 带电粒子在组合场、叠加场中的运动(五大题型)(解析版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍11 带电粒子在组合场、叠加场中的运动(五大题型)(原卷版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍12 电磁感应中的电路与图像问题(二大题型)(解析版) -2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍12 电磁感应中的电路与图像问题(二大题型)(原卷版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍14 热力学定律与气体实验定律综合应用(五大题型)(解析版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍13 电磁感应中的动力学、能量和动量问题(三大题型)(原卷版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍14 热力学定律与气体实验定律综合应用(五大题型)(原卷版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍16 振动图像与波动图像的综合应用(三大题型)(解析版)-2025年高考物理冲刺抢押秘籍.pdf
- 抢分秘籍15 光的折射、全反射的综合应用(二大题型)(解析版)-2025年高考物理冲刺抢押秘籍.pdf
文档评论(0)