IC设计工程师求职知识点整理-(二).pdf

  1. 1、本文档共36页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
IC设计工程师求职知识点整理-(二)

数字制造装备与技术国家重点实验室 IC 设计知识整理(二) 整理人:Fjelly 数字IC 逻辑设计知识 一、 FPGA 基本知识 1. 结构与资源 目前生产FPGA 的公司主要有Xilinx 、Altera 、Actel 、Lattice、QuickLogic 等,生产的FPGA 品种和型号繁多。尽管这些FPGA 的具体结构和性能指标各有特色,但它们都有一个共同之 处,即由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块,从而实现不同 的设计。 典型的 FPGA 通常包含三类基本资源:可编程逻辑功能块、可编程输入/输出块和可编 程互连资源。 可编程逻辑功能块是实现用户功能的基本单元,多个逻辑功能块通常规则地排成一个阵 列结构,分布于整个芯片;可编程输入/输出块完成芯片内部逻辑与外部管脚之间的接口, 围绕在逻辑单元阵列四周;可编程内部互连资源包括各种长度的连线线段和一些可编程连接 开关,它们将各个可编程逻辑块或输入/输出块连接起来,构成特定功能的电路。用户可以 通过编程决定每个单元的功能以及它们的互连关系,从而实现所需的逻辑功能。不同厂家或 不同型号的 FPGA,在可编程逻辑块的内部结构、规模、内部互连的结构等方面经常存在较 大的差异。 除了上述构成FPGA 基本结构的三种资源以外,随着工艺的进步和应用系统需求的发展, 一般在FPGA 中还可能包含以下可选资源:存储器资源(块RAM、分布式RAM); 数字时 钟管理单元(分频/倍频、数字延迟、时钟锁定); 算数运算单元(高速硬件乘法器、乘加 器); 多电平标准兼容的I/O 接口; 高速串行I/O 接口; 特殊功能模块(以太网MAC 等 硬IP 核); 微处理器(PowerPC405 等硬处理器IP 核)。 2. 发展趋势 随着微电子技术、EDA 技术、以及应用系统需求的发展,FPGA 正在逐渐成为数字系统 开发的平台,并将在以下方面继续完善和提高: (1) 高集成度、大容量、低成本、低电压、低功耗; (2 ) 资源多样化; (3 ) 适用于片上系统:处理器、高速串行I/O、DSP 等等; (4 ) 深亚微米工艺的使用。目前基于90nm 工艺的FPGA 已经商用,正在向65nm 挺进; (5 ) 各种软硬IP 库的发展和完善; (6 ) 动态可重构技术实用化 3. FPGA 与CPLD 的区别 CPLD FPGA 内部结构Product term (基于乘积项)Look up Table (基于查找表) 程序存储 内部EEPROM/FLASH SRAM,外挂EEPROM 资源类型 组合逻辑资源丰富 时序逻辑资源丰富 集成度 低 高 使用场合 完成控制逻辑 能完成比较复杂的算法 速度 慢 快 ?? 其他资源 - PLL、RAM 和乘法器等 保密性 可加密 一般不能保密  CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑。换句话说,FPGA 更适合于触发器丰富的结构,而CPLD 更适合于触发器有限而乘积项丰富的结构。  CPLD 的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA 的分段式布 线结构决定了其延迟的不可预测性。  在编程上FPGA 比CPLD 具有更大的灵活性。CPLD 通过修改具有固定内连电路的逻辑功 能来编程,FPGA 主要通过改变内部连线的布线来编程;FPGA 可在逻辑门下编程,而 CPLD 是在逻辑块下编程。  FPGA 的集成度比CPLD 高,具有更复杂的布线结构和逻辑实现。  CPLD 比FPG

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档