Verilog-HDL-如何编写TESTBENCH.pdf

  1. 1、本文档共86页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Verilog-HDL-如何编写TESTBENCH

主要内容 Verilog对验证的支持 系统函数和系统任务 如何编写模块的TESTBENCH 08:29 2 系统任务和系统函数 是Verilog中预先定义好的,用于调试和编译 预处理的任务或函数。 以$开头,用于控制和检测仿真模拟过程 主要有: (1)用于获取仿真时间的系统函数 (2)支持文本输出(检测信号、显示信号)的 系统任务 (3)用于文件输入、输出操作的系统任务 (4)用于暂停和退出仿真的系统任务 (5)用于产生随机数的系统任务 08:29 3 获取当前仿真时间的系统函数 $time,$realtime,$stime:返回当前仿真时 间。 $time返回一个64位的整数时间值, $realtime返回的结果是实数时间值,是更为精确的 仿真时间 $stime返回一个32位整数时间值。(对大于232 的 时间,返回模232 的值。使用它可以节省显示及打 印空间。) 这些函数的返回值使用调用模块中`timescale 定义的模块仿真时间尺度为单位 08:29 4 例 ..\..\verilog_example\Dec2x4.v ..\..\verilog_example\Dec_Test.v # At time 0, input is 0,0,0, output is,xxxx # At time 4, input is 0,0,0, output is,1111 # At time 10, input is 0,0,1, output is,1111 # At time 13, input is 0,0,1, output is,0111 # At time 20, input is 1,0,1, output is,0111 # At time 23, input is 1,0,1, output is,0101 # At time 26, input is 1,0,1, output is,1101 # At time 30, input is 1,1,1, output is,1101 # At time 33, input is 1,1,1, output is,1100 # At time 36, input is 1,1,1, output is,1110 # At time 40, input is 0,1,1, output is,1110 # At time 44, input is 0,1,1, output is,1011 # At time 50, input is 0,0,1, output is,1011 # At time 54, input is 0,0,1, output is,0111 08:29 5 Verilog支持的文本输出的系统任务 显示任务:用于仿真模拟期间显示信息。 $display $write $strobe $monitor 08:29 6 $display $display输出参数列表中信号的当前值。 语

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档