转换结束信号.ppt

  1. 1、本文档共54页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
转换结束信号

第11章 数模和模数转换 主要内容 一、数模和模数转换的概念和作用 常用 DAC 的类型和主要参数 注:在DAC实际连接中,要注意区分“模拟地”和“数字地”的连接,为了避免信号串扰,数字量部分只能连接到数字地,而模所量部分只能连接到模拟地。 TRG: MOV DX,200H MOV AL,0H TN1: OUT DX,AL INC AL JNZ TN1 MOV AL,0FFH TN2: OUT DX,AL DEC AL JNZ TN1 ………… #includestdio.h #includemath.h Main(){ unsigned char V0=128,VOUT; int i; while(!kbhit()) ∥等待键盘 { i=0; while(I=360) ∥角度最大为360度 { VOUT=V0+128*sin(3.14159*I/180); ∥计算每10度的正弦值 outportb(0x200,VOUT); ∥输出 i=i+10; ∥角度+10 } } } 例11.1 图11.3中所示的为DAC0832与CPU之间的接口电路,CPU数据总线(D0~D7)经总线驱动器接至DAC0832的数据端,CPU的地址总线经地址译码电路产生DAC0832芯片的片选信号;图中DAC0832工作在单缓冲方式,当进行D/A转换时,CPU只需将被转换的8位数据通过D0~D7经过总线驱动器传给DAC0832的数据输入端,并立即启动D/A转换,在运放输出端Vout输出对应的模拟电压。 MOV BX , ADDR ;要转换的数据单元地址送BX MOV AL , [BX] ;数据送AL MOV DX , PORTA ;将端口地址赋给DX OUT DX , AL ;将累加器AL的内容送给 ;DAC0832,进行D/A转换 11.2 模数转换 A/D转换的原理很多,常见的有双积分式、逐次逼近式、计数式等。 输出码制有二进制、BCD码等。 输出数据宽度有8位、12位、16位、20位24位等(二进制)。 A /D 转换的基本原理和一般步骤 1.双积分型A/D转换器 双积分型A/D转换器是将输入电压变换成与平均值成正比的时间间隔,然后利用计数器测量时间间隔,如图所示。 双积分型A/D转换器完成一次模一数转换需要三个阶段: 积分(采样:K1导通)、反积分(比较:K3导通)和结束阶段(K4导通)。双积器对正极性电压输出波形如图所示。 通过输出波形可求出:Vin=VR/Nm×NX,式中: VR参考电压,Nm参考电压计数值, NX输入电压计数值。可见,双积分型A/D转换器输出与时间常数RC无关,消除了斜坡电压的各种误差,由于经过两次积分可消除干扰对转换结果的影响。 特点:转换精度高,转换速度慢。 ADC0809引脚图: START是ADC0809的A/D转换启动信号,高电平时内部逐次逼近 寄存器清0,由1→0变化时开始A/D转换,信号宽度100ns.CLK为 时钟信号,最大为600KHz.ADC0809设图10-12所示电路的CS=180~187H,采用中断方式的采集程序如下: #include stdio.h #include dos.h Void interrupt far adc-proc(); Main(){ void (interrupt far*mode)(); disable();∥关中断 mode=getvect(0x0a); ∥取IRQ2中断向量 setvect(0x0a,adc-proc);∥设置中断向量 enable(); ∥开中断 outportb(0x180,00); ∥启动ADC转器,选择IN0通道 while(!Kbhit()){} ∥等待键盘 setvect(0x0a,mode); ∥有键按下时,恢复IRQ2中断向量 } voed interrupt far adc-proc() {∥中断服务程序 unsigned char result;

文档评论(0)

wangsux + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档