EDA触发器功能模拟实验报告.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA触发器功能模拟实验报告

EDA技术实验项目报告 项目题目: 触发器功能模拟 姓 名: 左 修 林 院 系: 应用技术学院 专 业: 电子信息工程 学 号: 201015254135 指导教师: 陈 强 综合成绩: 完成时间: 2012年 5月 21日 项目实验内容摘要 基于FPGA硬件开发板,利用QuartusII软件通过VHDL输入的方式实现基本RS触发器,同步触发器,JK触发器,D触发器同时集成在一个FPGA芯片中模拟功能; 实验原理图: 二、项目实验源代码 library ieee; use ieee.std_logic_1164.all; entity mff is port(sd,rd,r,s,clk,j,k,d:in std_logic; qrs,nqrs,qrsc,nqrsc,qjk,nqjk,qd,nqd:out std_logic); --定义多触发器I/O. end mff; architecture mff of mff is signal qtp, qbtp,dd,ndd: std_logic; begin rsff:process(rd,sd) --基本RS触发器功能模拟 begin if rd=0 and sd=1 then qrs=0;nqrs=1; elsif rd=1 and sd=0 then qrs=1;nqrs=0; elsif rd=1 and sd=1 then null; end if; end process rsff; rsc:process(clk,rd,sd,r,s) --同步RS触发器功能模拟 begin if sd=0 then qrsc=1; nqrsc=0; elsif rd=0 then qrsc=0; nqrsc=1; elsif clk=1 then if r=0 and s=1 then qrsc=0;nqrsc=1; elsif r=1 and s=0 then qrsc=1;nqrsc=0; elsif r=0 and s=0 then null; end if; end if; end process rsc; jk:PROCESS(clk, sd, rd, j, k) --JK触发器功能模拟 BEGIN IF sd=0 then qtp=1; qbtp=0; elsif rd=0 THEN qtp=0;qbtp=1; elsif rising_edge(clk) then if j=0 and k=0 then null; elsif j=0 and k=1 then qtp=0; qbtp=1; elsif j=1 and k=0 then qtp=1; qbtp=0; else qtp=NOT qtp; qbtp=NOT qbtp; end if; end if; qjk=qtp;nqjk=qbtp; end process jk; dff:process (clk,rd,sd,d) --D触发器功能模拟 begin if (rd=0) then dd=0; ndd=1; elsif(sd=0) then dd=1; ndd=0; elsif rising_edge(clk) then dd=d; ndd=not d; end if; qd=dd; nqd=ndd; end process dff; end mff; 三、项目实验工具软件的选用以及实验过程 (一)项目实验工具软件的选用: 1、EDA2000实验箱(其他厂家具有同等配置试验箱均可),主要使用:输入:时钟源,按键开关,拨码开关,输出:LED灯; 2、主芯片:EP1K1OTC100-3(大于此规模的芯片亦可); 3、计算机与QUARTUS Ⅱ软件; (二)实验过程: 1、建

文档评论(0)

xjj2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档