quartusII.9安装及使用.pptVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
quartusII.9安装及使用

输入b矢量的设置相同,仿真前,输出矢量不能设置。 由于在设计时,矢量的a[3]是最高位,所以必须将矢量组合a的最高位设置为a[3]。点选矢量组合,单击右键,在弹出窗口中将Group and Bus····设置为LSB on Top. 为了简单,设置激励信号用按钮 设置输入信号周期 设置输入信号的值(10进制)。双击要赋值的区域,弹出赋值窗口,填写要设定的值。 仿真后,输出矢量也可以用10进制组合观察。设置方法同输入。 QuartusII 9.0 安装 解压,打开90_quartus_windows.exe,选择安装路径,点击Install自动安装 一、安装 选择 I accept··· ,以后就是一路的Next 选Complete完整版 安装完成,Finish后,此时在桌面可见软件图标 二、破解 破解前关闭杀毒软件,保证电脑联网在线。 找到并记下你电脑的网卡物理地址。 打开CRACK文件夹,将“Quaruts_II_9.0破解器.exe”文件拷到安装目录下的quartus文件夹的bin文件夹中,然后再双击打开。 点击“应用补丁”,保存在默认目录下,退出。 在安装目录下的quartus文件夹下的bin文件夹中,找到license.DAT文件,用记事本打开,把两处“XXXXXXXXXXXX”换为你电脑的“网卡的物理地址”,再把最后面的两行汉字去掉,保存、关闭。完成全部过程。 QuartusII 9.0 使用 一、启动quartusII,建立工程 新建工程(file - new Project Wizard) 工程文件夹 工程名 顶层模块名 注意:顶层设计实体名必须和顶层文件名、工程名称相同。 Next 添加已有文件(没有已有文件,直接next) 选择芯片型号(随意选一个,Next) 选择综合、仿真工具(三项都选None),Next 工程建立完成,Finish 单击file - new-VHDL File 2 建立编辑VHDL文件 编辑并保存 以下VHDL 代码文件,文件名为实体名。 library ieee; use ieee.std_logic_1164.all; entity nanda is port ( a,b : in std_logic ; c: out std_logic ); end nanda ; architecture art of nanda is begin c = not(a and b); end art; 注意:保存的文件名必须与实体名相同。 三、编译 VHDL 文件。 点击工具栏按钮( start Analysis synthesis 等待编译结果,不能出现error错误 如出现error,则会给出相应的错误提示, 编译通过后,对管脚进行分配,点击工具栏按钮 (pin planner) 双击location (蓝条)为输入输出配置引脚。 管脚分配后再对整体进行编译,点击工具栏按钮 start?Complilation 等待,忽视warning 建立波形文件。以观察信号输入、输出波形 四、仿真: File- New-Vector Waveform File 进入波形编辑窗口。 双击此空白处,弹出对话框 创建输入、输出向量。单击Node Finder,出现选择节点窗口 单击list,显示所有管脚,可选择。如全选,单击 ,单击ok。 波形编辑界面,设置输入波形。 单击要编辑的输入波形,出现蓝色,用按钮 对波形进行设置。 编辑激励信号不要点击 snap to grid,这是中断设置激励。 设置仿真类型 单击processing下的Simulator Tool,选择仿真类型Functional, 所有激励设置好后,保存仿真文件,其后缀为.vwf 。 Functional:功能仿真,只仿真逻辑关系。 Timinng:时序仿真,仿真时加入线、门、寄存器的延时信息,输出波形会看到毛刺现象。 建立功能仿真网表。 点击Generate Functional·····创建仿真网表。网表创建完成后,点击Start开始仿真。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity add is port ( a,b : in std_logic_vector(3 downto 0); c : in std_logic; sum : out std_logic_vector (4 downto 0) ); end add; ar

文档评论(0)

xcs88858 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档