- 1、本文档共7页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL电路课程设计报告
VHDL电路课程设计报告
设计课题: 交通灯控制系统
专业班级: 07电子信息工程技术一班
学生姓名:
指导教师:
设计时间: 2009-6-3
一、设计目的:
1、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。通过对交通灯控制系统这一课题的设计、仿真、调试来具体完成;
2、熟悉系统的分析和设计方法;
3、掌握合理选用集成电路的方法
4、熟悉交通灯系统开发、设计、制作的技术流程;
5、培养正确选择和运用测试仪器对系统性能正确测试的能力;
6、培养撰写综合设计报告的能力
7、培养严肃认真的工作态度和团队的协作精神
二、设计任务和要求:
1、能显示十字路口东西、南北两个放向的红、黄、绿灯的指示状态;
2、设置一组数码管,能实现正常的倒计时功能,显示允许通行的时间,显示时间红灯为35秒、绿灯为50秒、黄灯为5秒。
3、能实现特殊状态的功能。如出现特殊情况时,比如碰到紧急情况,需要优先放行车辆时,东西、南北方向上的批示灯均显示为红灯,倒计时停止,并保持原来的状态。当特殊运行状态结束后,计数器便恢复,开始玻常计数。
4、要求用VHDL语言或原理图的方法设计符合上述要求的电路,会正确划分模块并用层次化设计方法设计该电路。
三、课程设计模块划分及各部分功能
四、各模块设计
1、主控制器的设计该模块:其为整个设计的核心部分,同两个部分构成,即东西方向两部分,要求用来实现红灯、黄灯、绿灯的交替循环点亮,并实现时间的倒计时。假设东西方向亮灯的顺序为绿灯、黄灯、红灯、,南北方向亮灯的顺序为红灯、绿灯、黄灯。显然,这两个模块基本雷同,只要设计好一个模块,另一个稍做修改就可实现。程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cont is
port(clk:in std_logic;
r,y,g:out std_logic;
th,tl:out std_logic_vector(3 downto 0));
end cont;
architecture cont_aa of cont is
type rgy is(yellow,green,red);
begin
process(clk)
variable a:std_logic;
variable thh,tll:std_logic_vector(3 downto 0);
variable state:rgy;
begin
if(clkevent and clk=1)then
case state is
when green=if(a=0)then
thh:=0011;
tll:=0100;
a:=1;
g=1;
r=0;
else
if not(thh=0000 and tll=0001)then
if(tll=0000)then
tll:=1001;
tll:=thh-1;
else
tll:=tll-1;
end if;
else
thh:=0000;
tll:=0000;
a:=0;
state:=yellow;
end if;
end if;
when red=if(a=0)then
thh:=0100;
tll:=1001;
a:=1;
r=1;
y=0;
else
if not(thh=0000 and tll=0001)the
您可能关注的文档
- LDO原理结构及应用.docx
- LED点阵书写显示屏的原理与制作.docx
- LED轨道灯成品检验标准.doc
- Let’s learn colors.ppt
- LH-直接空冷凝汽器运行维护手册.docx
- LiferayPoral数据库表结构技术文档.doc
- linkedin的商业模式分析.doc
- Letter of Credit 信用证 国际结算 教学课件.ppt
- Linux的fork、exec、wait函数的分析任务书.doc
- Linux的内核模块.doc
- 2024-2025学年北京王府学校高一入学分班考试语文作文押题及范文分析.docx
- 第1课 北京的春节 课件(共27张PPT).pptx
- 2024-2025学年北京市育英中学高一入学分班考试语文作文押题及范文分析.docx
- 走读生安全责任书范本.pdf
- 2024-2025学年北京一零一中学高一入学分班考试语文作文押题及范文分析.docx
- 2024-2025学年北京新亚中学高一入学分班考试语文作文押题及范文分析.docx
- 走读生安全责任书范文.pdf
- 2024-2025学年常熟中学高一入学分班考试语文作文押题及范文分析.docx
- 2024-2025学年常州高级中学高一入学分班考试语文作文押题及范文分析.docx
- 2024-2025学年潮阳实验学校高一入学分班考试语文作文押题及范文分析.docx
文档评论(0)