verilog读写文件.doc

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
verilog读写文件

系统函数$fopen用于打开一个文件,并还回一个整数指针.然后,$fdisplay就可以使用这个文件指针在文件中写入信息,写完后,则可以使用$fclose系统关闭这个文件 例如: integer write_out_file;//定义一个文件指针 integer write_out_file=$fopen(write_out_file.txt); $fdisplay(write_out_file,@%h\n%h,addr,data); $fclose(write_out_file); 以上语法是将addr,data分别显示在”@%h\n%h”中的2个%h的位置,并写入write_out_file文件指针所指向的write_out_file.txt中. 从文件中读取数据,可以用 $readmemb $readmemh 从文件中读入数据,该文件格式是一定的. reg〔7:0〕 data〔47:0〕; $readmemh(file_name.txt,data ); 就是将file_name.txt中的数据读入到data数组中,然后就可以使用这些数据了. 还有一种方式可以把指定的数据放入指定的存储器地址单元内,就是在存放数据的文本文件内,给相应的数据规定其内存地址,形式如下: @address_in_hexadecimal   data @2f             20 ? 两个系统任务可以在仿真的任何时刻被执行使用,其使用格式共有以下六种: ? 1) $readmemb(数据文件名,存贮器名); 2) $readmemb(数据文件名,存贮器名,起始地址); 3) $readmemb(数据文件名,存贮器名,起始地址,结束地址); 4) $readmemh(数据文件名,存贮器名); 5) $readmemh(数据文件名,存贮器名,起始地址); 6) $readmemh(数据文件名,存贮器名,起始地址,结束地址); ? 在这两个系统任务中,被读取的数据文件的内容只能包含:空白位置(空格,换行,制表格(tab)和form-feeds),注释行(//形式的和/*...*/形式的都允许),二进制或十六进制的数字。数字中不能包含位宽说明和格式说明,对于$readmemb系统任务,每个数字必须是二进制数字,对于$readmemh系统任务,每个数字必须是十六进制数字。数字中不定值x或X,高阻值z或Z,和下划线(_)的使用方法及代表的意义与一般Verilog HDL程序中的用法及意义是一样的。另外数字必须用空白位置或注释行来分隔开。 ? 在下面的讨论中,地址一词指对存贮器(memory)建模的数组的寻址指针。当数据文件被读取时,每一个被读取的数字都被存放到地址连续的存贮器单元中去。存贮器单元的存放地址范围由系统任务声明语句中的起始地址和结束地址来说明,每个数据的存放地址在数据文件中进行说明。当地址出现在数据文件中,其格式为字符“@”后跟上十六进制数。如: ? @hh...h ? 对于这个十六进制的地址数中,允许大写和小写的数字。在字符“@”和数字之间不允许存在空白位置。可以在数据文件里出现多个地址。当系统任务遇到一个地址说明时,系统任务将该地址后的数据存放到存贮器中相应的地址单元中去。 ? 对于上面六种系统任务格式,需补充说明以下五点: ? 1) 如果系统任务声明语句中和数据文件里都没有进行地址说明,则缺省的存放起始地址为该存贮器定义语句中的起始地址。数据文件里的数据被连续存放到该存贮器中,直到该存贮器单元存满为止或数据文件里的数据存完。 2) 如果系统任务中说明了存放的起始地址,没有说明存放的结束地址,则数据从起始地址开始存放,存放到该存贮器定义语句中的结束地址为止。 3) 如果在系统任务声明语句中,起始地址和结束地址都进行了说明,则数据文件里的数据按该起始地址开始存放到存贮器单元中,直到该结束地址,而不考虑该存贮器的定义语句中的起始地址和结束地址。 4) 如果地址信息在系统任务和数据文件里都进行了说明,那么数据文件里的地址必须在系统任务中地址参数声明的范围之内。否则将提示错误信息,并且装载数据到存贮器中的操作被中断。 5) 如果数据文件里的数据个数和系统任务中起始地址及结束地址暗示的数据个数不同的话,也要提示错误信息。 ? 下面举例说明: 先定义一个有256个地址的字节存贮器 mem: ? reg[7:0] mem[1:256]; ? 下面给出的系统任务以各自不同的方式装载数据到存贮器mem中。 ? initial $readmemh(mem.data,mem); initial $readmemh(mem.data,mem,16); initial $readmemh(mem.data,mem,128,1); ? 第一条语句在仿真时刻为0时,

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档