Verilog_HDL试卷.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Verilog_HDL试卷

山东科技大学2008—2009学年 第二学期 《EDA设计仿真与硬件描述语言》考试试卷 (A卷) 班级 姓名 学号 题号 一 二 三 四 总得分 评卷人 审核人 得分 一.填空题(共15分) 1.给出下述Verilog语句的仿真输出结果(本题5分,每个1分)。 (1)$displayb ( 4b1010 4b0110 );输出为: 0 (2)$displayb ( 4b1x10 = = 4b1x10 ); 输出为: x (3)$displayb ( ^4b1110 ); 输出为: 1 (4)$displayb ( {3{2’b10}} ); 输出为: 101010 (5)//假设reg [3:0] a; reg [7:0] y; a=4b1010; $displayb ( {{4{a[3]}},a} ); 输出为: 11111 010 2.假设design为Lab1.v,顶层模块名为Lab1;TestBench为Lab1_TB.v,顶层模块名为Lab1_TB, 按下述步骤要求,采用modelsim命令行方式时的完整仿真命令序列为:(本题10分,每个2分) 创建物理库mywork: vlib mywork 映射逻辑库work至物理库mywork: vmap mywork work vmap work mywork 编译design至work库: vlog Lab1.v 编译testbench至work库: vlog Lba1_TB.v 启动仿真工具仿真: vsim Lba1_TB 二.简答题(共45分) 1.简述下述Verilog语句的含义(所有信号均为1bit位宽;有时序信息时请同时说明时序含义,若需要用式子表达,可用X(t)表达“t时刻时X的值”;可均从0时刻描述)(本题10分,每个2分) (1) assign #10 out = in1 in2 ; out(10)=in1(10)in2(10) (2) assign out = # 5 in1 | in2 ; out(5)=in1(0)in2(0) (3) or #(1,2,3) or_inst(o,in1,in2); 上升延时为1个单位,下降延时为2个单位,关断延时为3个单位,0=in1|in2; (4) `timescale 1ns / 100ps 单位为纳秒,精度为100皮秒; (5) reg [7:0] led_out; 代码片段:led_out[7:0] = {led_out[6:0],led_out[7]}; 实现循环左移功能; 2. 假设design为Lab1.v,顶层模块名为Lab1;TestBench为Lab1_TB.v,顶层模块名为Lab1_TB,简述使用QuartusII工具的FPGA实现、验证操作步骤。(本题10分) 1.新建库,next,选中Lba1,next,2.processing/Start/Start analysis,3.assignment/pin planner/location,4.processing/Start complication5. C/altera/91/quartus/drivers/usb.blaster,6.tools/programer/Hardware USB –blaster/setup/Lab1.sof,点击Start即可 3. 简述有限状态机FSM分为哪两类?有何区别?有限状态机的状态编码风格主要有哪三种?FSM的三段式描述风格中,三段分别描述什么?(本题10分) Mearly型,Moore型;前者与输入与当前状态有关,而后者只和当前状态有关;Binary,Gray,One-Hot编码;分别为状态保存,状态切换,输出; 4. 基于FPGA的设计流程大体可分为:design设计--synthesis综合--fit适配--配置FPGA,请简要描述综合、适配、配置过程的主要功能。(本题5分)

文档评论(0)

zhuwenmeijiale + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:7065136142000003

1亿VIP精品文档

相关文档