网站大量收购独家精品文档,联系QQ:2885784924

实验5 时序逻辑电路实验.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验5 时序逻辑电路实验

实验5 时序逻辑电路实验 1.设计一个完整的时序逻辑电路,并用MAXPLUS进行仿真,将结果下载到实验箱中,测试电路的正确性。 要求:设计一个24进制计数电路,数字显示在数码管上,有手动和自动两种模式,在自动模式下每隔1秒从00显示到23然后循环,在手动模式下,每按一次按键计数值加1。每次从23跳到00时,响铃提示。 应包含VHDL源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析 24进制器(很重要) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY hours24 IS PORT(CLK,X:IN STD_LOGIC; O_1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); O_2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); W:OUT STD_LOGIC; bee:OUT STD_LOGIC ); END hours24; ARCHITECTURE g3 OF hours24 IS SIGNAL COUNT_1,COUNT_2:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(CLK,X) BEGIN IF(CLKEVENT AND CLK = 1 )THEN IF(COUNT_2=2 AND COUNT_1=3)THEN COUNT_2=0000; COUNT_1=0000; bee=1; ELSIF(COUNT_1=9)THEN COUNT_2=COUNT_2+1; COUNT_1=0000; ELSE COUNT_2=COUNT_2; COUNT_1=COUNT_1+1;bee=0; END IF; END IF; END PROCESS; W=X; O_2=COUNT_2 ; O_1=COUNT_1; END g3; 分频(重要) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY fenpin IS PORT(clk:IN STD_LOGIC; sd:IN STD_LOGIC; EN:IN STD_LOGIC; clk_F:OUT STD_LOGIC; sd_1:OUT STD_LOGIC; wx:OUT STD_LOGIC; EN_1:OUT STD_LOGIC ); END fenpin; ARCHITECTURE g1 OF fenpin IS SIGNAL clk_DIV:STD_LOGIC; BEGIN PROCESS(clk) VARIABLE COUNT:INTEGER RANGE 0 TO 4; BEGIN IF(clkEVENT AND clk = 1)THEN IF(COUNT=3)THEN COUNT:=0; ELSE COUNT:=COUNT+1; IF( COUNT2)THEN clk_DIV= 1; ELSE clk_DIV = 0; END IF; END IF; END IF; END PROCESS; clk_F=clk_DIV; EN_1=EN; sd_1=sd; wx=clk; END g1; 按键消除抖动(重要) library IEEE; use ieee.std_logic_1164.all; entity xiaodou is port( clk,key,xuan: in std_logic; dmc,clk_out,xuan_out: out std_logic ); end xiaodou; architecture g0 of xiaodou is signal r,s,qr,qs,d1,d2,q1,q2,d3,d4,q3,q4,cp:std_logic; begin process(clk) begin if(clkevent and clk=1)then d1=key; d2=d1; q2=d2; d3=qr; d

文档评论(0)

zhuwenmeijiale + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:7065136142000003

1亿VIP精品文档

相关文档