- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA电梯设计
注:停车流程图要改,停车后,先延时一,再开门清相应信号灯,再延时二,再关门,最后返回。延时同样有判断。
整体设计:左侧输入:按键,电源,压力传感器。中间和右侧输出则与以前相同。按以前的设计报告,你在画一份吧。
状态装换:附图两张:你自己看。
四层电梯控制器设计思路:
采用状态机来实现电梯控制器,思路比较清晰。根据电梯的实际工作情况,可以把电梯设置为9个状态,分别是“电梯停留在1层”、“开门”、“关门”、“延时一”、“延时二”、“报警”、“上升”、“下降”和“停止”状态。各个状态的转换条件可有设计要求所决定。
1、四层电梯控制器的实体实际
对于输入端口,一个异步复位端reset,用于在系统不正常时回到初始状态,在电梯外部,必须有升降请求端口,一层:上升请求端口,二三层:上升下降请求端口均有,四层:下降请求端口;在电梯内部,应有各层停留的的请求端口;一个时钟输入端口,用于驱动电梯的升降机开关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。
对于输出端口,有升降请求信号,就得有一个输出端口来指示请求是否被响应,有请求信号后,该输出端口逻辑为‘1’,被响应后则恢复为‘0’,同样,在电梯内部也应该有这样的端口来显示是否被响应;在电梯外部,需要一个端口来显示电梯现在所处的位置;电梯的开关门的状态也能用一个输出端口来指示;电梯的升降状态用一个输出端口来指示。
2、结构体设计
状态机设了九个状态,
type lift_stata is
(stopon1,dooropen,doorclose,delay1,delay2,warning,up,down,stop);
signal mylift:lift_stata;--定义为lift_stata类型的信号mylift
在结构体中,有两个进程,状态机进程作为主要进程,信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯控制进程产生的信号灯信号为依据的,而信号灯控制进程中信号灯的熄灭又是由状态机进程中传出的clearup和cleardn信号来控制。
在状态机进程中,在电梯上升状态中,通过对信号灯的判断来决定下一个状态是继续上升还是停止;下降状态亦然。在停止状态判断是最复杂的,仍通过对信号的判断来看是上升还是下降还是停止。
在信号灯控制进程中,按键后产生的点亮的信号灯(逻辑值为‘1’)用作状态机进程的判断条件,而clearup和cleardn信号为逻辑‘1’使得相应的信号灯熄灭。
总流程图
电梯运行流程图
上升模式流程图 下降模式流程图
停车门控流程图
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity fourfilft is
port(butttonclk: in std_logic;--按键时钟
liftclk: in std_logic; --电梯时钟
reset: in std_logic; --复位信号
heavy:in std_logic; --超重信号
f1upbutton: in std_logic;--升降按键
f2upbutton: in std_logic;
f2dnbutton: in std_logic;
f3upbutton: in std_logic;
f3dnbutton: in std_logic;
f4dnbutton: in std_logic;
fuplight:buffer std_logic_vector(4 downto 1);--上升按键响应信号灯
fdnlight:buffer std_logic_vector(4 downto 1);-- 下降按键响应信号灯
stop1botton,stop2button,stop3button,stop4button:in std_logic;--电梯内部楼层按键,停止楼层信号
stoplight:buffer std_logic_vector(4 downto 1); --电梯内部楼层按键响应信号灯
position:buffer integer range 1 to 4;--楼层输入信号(即到几楼了)
doorlight:out std_logic;--开关门状态灯
udsig:buffer std_logic);--升降状态灯
end fourfilft;
architecture art of fourfilft is
type lift_stata is
(stopon1,
您可能关注的文档
- 传统健身课程论文.doc
- 高中英语单选题专项:动词.docx
- 10Gbps背板设计要点.doc
- 从头开始构建一个嵌入式 Linux 发行版.doc
- 为在乎自己健康的人提供的15种低糖食物.docx
- 普通大学生就业情况分析(英文版).doc
- module frequence、lift.docx
- 实验十五 三层电梯.doc
- 2015考试题——2014至2015年大学英语六级阅读理解模拟精选试题.doc
- Renesas RX23T 32位MCU逆变器控制方案.docx
- [54101121]1.2地球运动的地理意义——太阳视运动+课件+2025-2026学年高二上学期+地理+人教版+选择性必修一.pptx
- 宏观|8月数据是否继续承压?.docx
- 江苏省盐城市七校联盟2026届高三上学期9月第一次学情检测语文+答案.pdf
- 江苏省盐城市七校联盟2026届高三上学期9月第一次学情检测生物+答案.pdf
- 1 白鹭 教学设计 2025-2026学年统编版语文五年级上册.docx
- 航海装备Ⅱ行业深海科技系列报告:无人协同,以深制海.docx
- [54084823]Unit+2+My+friends++Part++A++Let+'s+talk+&+learn+(课件)-2025-2026学年人教PEP版(2024)英语四年级上册.pptx
- [54088339]3.2用频率估计概率(教学课件)数学北师大版九年级上册.pptx
- [54087024]4.6人体生命活动的调节复习课件2025-2026学年人教版生物八年级上册.pptx
- 2025年博格华纳:涡轮增压龙头 研发并购、战略转型.pdf
文档评论(0)