毕业论文-基于FPGA的8位ALU设计与仿真终稿.doc

毕业论文-基于FPGA的8位ALU设计与仿真终稿.doc

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
毕业论文-基于FPGA的8位ALU设计与仿真终稿

本科生毕业论文(设计) 基于FPGA的8位ALU设计与仿真 姓 名: 石 鹏 指导教师: 华 婷 婷 院 系: 信息工程学院 专 业: 计算机科学与技术 提交日期: 目 录 中文摘要 3 英文摘要 4 引 言 5 1、绪 论 6 1.1 算术逻辑单元ALU概述 6 1.2 FPGA概述 6 1.3 VHDL概述 7 2. ALU的设计 8 2.1 MAX+plus Ⅱ及QuartusⅡ软件简介 8 2.1.1 MAX+plusⅡ概述 8 2.2.2 Quartus || 概述 8 2.2设计思想 9 2.3 算术运算单元的设计 11 2.3.1 算术运算单元的Entity定义 11 2.3.2 算术单元的Architecture描述 12 2.4逻辑运算单元的设计 12 2.4.1 完成Entity定义 13 2.4.2 Architecture描述 13 2.5 ALU的VHDL设计 14 2.6八位ALU的VHDL设计的源程序代码 15 2.7编译结果 19 3. ALU 仿真 19 4. 结束语 20 参考文献 21 致谢 22 中文摘要 基于FPGA的8位ALU设计与仿真 摘 要:本文介绍了一种使用逻辑Filed Programmable Gate Array,FPGA)和Very-High-Speed Integrated Circuit Hardware Description LanguageVHDL)进行Arithmetic Logic Unit,ALU)的设计方法。并在加法器模块的设计中使用了超前进位的方法。使得所设计的ALU具有很好的稳定性和较高的速度。位算术逻辑单元最后采用VHDL语言中的语句对各模块进行整合,并对其进行仿真FPGA;VHDL;The Design of ALU Based on FPGA Abstract:This article introduces a method that a programmable logic device using FPGA and VHDL to design ALU. And adder module is used in the design-ahead approach. ALU is designed to make an excellent stability and high speed. To complete the addition, subtraction, bit into Canada, into the bit minus, plus one, minus one, transmission, and, or, non-, XOR operation and so on., Using VHDL language and FPGA-based design of arithmetic logic unit 8, it has many kinds of instructions, can achieve the four operands of various computing, and finally the use of VHDL language in the statement on the module integration, and simulation. Key Words:ALU; FPGA; VHDL; simulation 引 言 以往的数字集成电路设计手段主要是采用传统的搭积木方式,随着半导体集成技术和计算机技术的发展,电子系统的设计方法发生了很大变化。20世纪90年代,电子设计自动化(EDA)技术被广泛应用于电子设计领域。采用可编程逻辑器件FPGA的应用广泛,用可编程逻辑器件代替传统的普通集成电路已成为一种发展的趋势。可FPGA以其高集成度、高速度、开发周期短、稳定性好而受到了人们的青睐。由于算术逻辑单元(ALU)在运算中对系统性能要求很高,而采用中小规模的集成电路设计的系统既庞大又存在稳定性的问题。因此,用可编程逻辑器件FPGA来实现算术逻辑单元是一个很好的选择。而硬件描述语言(HDL)是使用可编程逻辑器件的不可缺少的工具,所以本文选用VHDL语言。设计位算

您可能关注的文档

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档