可编程器件报告.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可编程器件报告

实验一: 用VHDL设计实现逻辑表达式所对应的逻辑门电路 实验程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity example3_2 is port(a,b,c,d,e,f,g:in std_logic; f1,f2,f3,f4,f5,f6,f7: out std_logic); end example3_2; architecture behav of example3_2 is begin f(0)=(a and b ) or (b and c) or(not b and not c); f(1)=(a and b and c) or not(not a or not b or not c); f(2)=(a xor b xor c) or (not(d) and (a or c)); f(3)=not((a and b) xor (c and d)) or((a and b and d) xor (b and c and d)); end behav; 仿真波形: 实验结论: 从仿真波形图可以看出,仿真结果完全逻辑表达式所对应的门逻辑电路。 实验二: 设计一个8线—3线有限编码器。 实验程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity example3_4 is port(sin:in std_logic; i:in std_logic_vector(7 downto 0); a:out std_logic_vector(2 downto 0); e,s:out std_logic); end example3_4; architecture behave of example3_4 is begin process(sin,i) begin if sin=1then a=111;e=1;s=1; else if i(7)=0 then a=000;e=0;s=1; elsif i(6)=0 then a=001;e=0;s=1; elsif i(5)=0 then a=010;e=0;s=1; elsif i(4)=0 then a=011;e=0;s=1; elsif i(3)=0 then a=100;e=0;s=1 ; elsif i(2)=0 then a=101;e=0;s=1 ; elsif i(1)=0 then a=110;e=0;s=1; elsif i(0)=0 then a=111;e=0;s=1; else a=111;e=1;s=0 ; end if; end if; end process; end behave; 波形仿真: 实验总结: 从仿真波形可以看出,该波形完全符合8线—3线有限编码器真值表的要求。 实验三:设计一个半导体数码管的七段显示译码器。 实验程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity example3_6 is port(hex:in std_logic_vector(3 downto 0); segment:out std_logic_vector(6 downto 0)); end example3_6; architecture behave of example3_6 is begin with hex select segment=1111001 when 0001, 0100100when 0010, 0110000when 0011, 0011001when 0100, 0010010when 0101, 0000010when 0110, 1111000when 0111,

文档评论(0)

skvdnd51 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档