数字逻辑 第3章 VHDL基本知识.ppt

  1. 1、本文档共151页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
3.1 硬件描述语言VHDL介绍 现代大多数EDA软件除了可以使用HDL语言设计输入以外,通常还支持类似传统电子系统设计的原理图输入方式。原理图输入方式中使用的逻辑模块或符号,可以使用EDA软件库中预制的功能模块,也可以使用VHDL语言设计的模块或原件。实际上,图形输入方式除了原理图输入外还有状态图输入和波形输入等常用方式。 采用模块化设计方式,完成各个功能模块设计后,将各个模块组合在一起,即完成对整个系统的设计。 3.1 硬件描述语言VHDL介绍 4. 布局布线(适配) 布局是指将网表文件中的逻辑连接关系合理地配置到目标器件内部的硬件结构上,通常需要在速度优先还是面积最优间选择。布线就是根据布局的拓扑结构,利用目标器件内部资源,合理地连接各个单元。适配后产生的仿真文件可用于精确的时序仿真,同时生成用于编程下载的文件。 3.1 硬件描述语言VHDL介绍 6. 编程下载 将适配后生成的下载或配置文件,通过编程器或下载线缆下载到目标器件中。一般将对CPLD的下载称为编程,对FPGA的下载称为配置。最后将整个系统进行统一的测试,验证设计在目标系统上的实际工作情况。 3.2 VHDL程序的基本结构 VHDL程序是由库(1ibrary)、程序包(package)、实体(entity declaration)、结构体(architecture body)、配置(configuration)五部分组成。设计实体结构结构图如图3-2所示,其中设计实体必须有实体和结构体,其它部分根据设计需要来添加。 3.2 VHDL程序的基本结构 3.2.1 实体说明 实体说明部分的一般结构: ENTITY 实体名 IS [GENERIC (类属表);] [PORT (端口表);] END [ENTITY] 实体名; 3.2 VHDL程序的基本结构 3. 端口说明 端口说明是对设计实体和外部接口的描述,是设计实体和外部通信的通道,对应电路图上的引脚。一个端口就是一个数据对象,包括端口名、数据类型、通信模式。端口说明的一般格式如下: PORT (端口名1 :通信模式 数据类型; 端口名2 :通信模式 数据类型; …… 端口名n :通信模式 数据类型; ); 3.2 VHDL程序的基本结构 通信模式说明数据、信号通过端口的流动方向,主要有4种: IN:定义端口为单向只读模式。数据或信号从外部流向实体内部,或者从该端口读取外部数据。 OUT:定义端口为单向输出模式。数据或信号只能从该端口流出,或者向该端口赋值。 3.2 VHDL程序的基本结构 BUFFER:定义端口为缓冲模式。该模式和输出模式类似,区别在于缓冲模式允许实体内部应用该端口信号即允许内部反馈,输出模式则不能用于内部反馈。缓冲模式的端口只能连接设计实体内部信号源,或者是其它实体的缓冲模式端口。 INOUT:定义端口为输入输出双向模式。在某些设计实体中,例如双向总线、RAM数据口、单片机的I/O口等,数据是双向的,既可以流入实体内部,也可以从实体流出,这是需设计为双向模式。实体内部的信号和外部输入实体的信号都可以经过双向模式端口,也允许引入内部反馈,所以双向模式是一个完备的端口模式。 3.2 VHDL程序的基本结构 3.2.2 结构体描述 结构体具体描述了设计实体行为,定义了实体的逻辑功能或内部电路结构关系,规定了该实体的数据流程,建立了实体输出与输入之间的关系。 结构体的一般格式如下: ARCHITECTURE 结构体名 OF 实体名 IS [定义语句]内部信号,常数,数据类型,函数定义; ——说明语句 BEGIN [进程语句]; ——功能描述语句 [并行处理语句]; END [ARCHITECTURE] [结构体名]; 3.2 VHDL程序的基本结构 “说明语句”用来说明和定义结构体内部使用的信号、常数、数据类型、函数、过程、元件调用声明等,这是结构体中必需的。 “功能描述语句”描述结构体的行为、功能、电路连接关系等,可以是并行语句、顺序语句或者它们的混合。其中并行语句是结构体描述的主要语句,并行语句间是并行的,没有顺序关系。进程语句是典型的并行语句,进程间是并行的,但进程内部的语句是有顺序的。 3.2 VHDL程序的基本结构 结构体功能可以用三种方式进行描述,即行为描述法、数据流描述法、结构描述法: 1. 行为描述法 行为描述表示输入与输出间转换的关系,是对设计实体按算法的路径来描述。采用进程语句,顺序描述设计实体的行为。这种描述方式通常是对整体设计功能的定义,不是对单一器件进行描述,是一种高层次的描述方法。 3.2 V

文档评论(0)

flyben + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档