VHDL - 而字符串则是一维的字符数组,须放在双引号.ppt

VHDL - 而字符串则是一维的字符数组,须放在双引号.ppt

  1. 1、本文档共53页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL - 而字符串则是一维的字符数组,须放在双引号

VHDL中的数据类型可以分成四大类。 1.VHDL的预定义数据类型 VHDL的预定义数据类型都是在VHDL标准程序包STANDARD中定义的,在实际使用中,已自动包含进VHDL的源文件中,因而不必通过USE语句以显式调用。 位数据类型也属于枚举型,取值只能是1或0。位数据类型的数据对象,如变量、信号等,可以参与逻辑运算,运算结果仍是位的数据类型。VHDL综合器用一个二进制位表示BIT。在程序包STANDARD中定义的源代码是: TYPE BIT IS (‘0’,‘1’); 位矢量只是基于BIT数据类型的数组,在程序包STANDARD中定义的源代码是: TYPE BIT _VECTOR IS ARRAY(NATURAL RANGE)OF BIT; 整数类型的数代表正整数、负整数和零。 自然数和正整数是整数的一个子类型。 在STANDARD程序包中定义的源代码如下: SUBTYPE NATURAL IS INTEGER RANGE 0 TO INTEGER HIGH; SUBTYPE POSITIVE IS INTEGER RANGE 1 TO INTEGER HIGH; 实数常量的书写方式举例如下: 65971.333333 --十进制浮点数 8#43.6#E+4 --八进制浮点数 43.6E-4 --十进制浮点数 字符串数据类型是字符数据类型的一个非约束型数组,或称为字符串数组。字符串必须用双引号标明。如: VHDL中唯一的预定义物理类型是时间。完整的时间类型包括整数和物理量单位两部分,整数和单位之间至少留一个空格,如55 ms,20 ns。 在VHDL仿真器中,错误等级用来指示设计系统的工作状态,共有四种可能的状态值: NOTE(注意)、WARNING(警告)、 ERROR(出错)、FAILURE(失败)。 在仿真过程中,可输出这四种值来提示被仿真系统当前的工作情况。 STANDARD 程序包中定义如下: TYPE SEVERITY_LEVEL IS (NOTE,WARNING,ERROR,FAILURE); 在IEEE库的程序包STD_LOGIC_1164中,定义了两个非常重要的数据类型,即: 标准逻辑位STD_LOGIC 标准逻辑矢量STD_LOGIC_VECTOR STD_LOGIC_VECTOR类型定义如下: TYPE STD_LOGIC_VECTOR IS ARRAY (NATURAL RANGE) OF STD_LOGIC; VHDL综合工具配带的扩展程序包中,定义了一些有用的类型。如Synopsys公司在IEEE库中加入的程序包STD_LOGIC_ARITH中定义了如下的数据类型: 无符号型(UNSIGNED) 有符号型(SIGNED) 小整型(SMALL_INT) TYPE UNSIGNED IS ARRAY (NATURAL RANGE ) OF STD_LOGIC; TYPE SIGNED IS ARRAY (NATURAL RANGE) OF STD_LOGIC; SUBTYPE SMALL_INT IS INTEGER RANGE 0 TO 1; 1) 无符号数据类型(UNSIGNED TYPE) UNSIGNED数据类型代表一个无符号的数值,在综合器中,这个数值被解释为一个二进制数,这个二进制数的最左位是其最高位。 2) 有符号数据类型(SIGNED TYPE) SIGNED数据类型表示一个有符号的数值,综合器将其解释为补码,此数的最高位是符号位,例如:SIGNED(“0101”) 代表+5,5;SIGNED(“1101”) 代表-5。 VHDL允许用户自行定义新的数据类型,它们可以有多种,如: 语法结构如下: 子类型SUBTYPE只是由TYPE所定义的原数据类型的一个子集。 子类型SUBTYPE的语句格式如下: VHDL中的枚举数据类型是用文字符号来表示一组实际的二进制数的类型(若

文档评论(0)

skvdnd51 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档