学长福利-单片机设计-音乐流水灯数码管-汇编语言.doc

学长福利-单片机设计-音乐流水灯数码管-汇编语言.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
学长福利-单片机设计-音乐流水灯数码管-汇编语言

淮阴工学院 Huaiyin Institute of Technology 单片机课程设计 (大作业) 学 院: 交通工程学院 班 级: 车辆1103班 姓 名: 李朗 学 号: 1101504321 /*********************************************/ 项 目: 汉字流水 功 能: 音乐,流水灯,数码管 版 本: V1.0 设 计:李朗 时 间: 2013年6月26日 说 明: 主控MCU STC89C52RC /*********************************************/ ORG 0000H JMP MAIN ORG 000BH JMP INTT0 ORG 0100H MAIN: JNB P3.4,K1 ;按键1按下转K1 JNB P3.5,ZHUAN1 ;按键1按下转ZHUAN1 JNB P3.6,ZHUAN2 ;按键1按下转ZHUAN2 SJMP MAIN //**********************音乐***********************// K1: LCALL DELAY9 ;去抖动 JNB P3.4,K1CHECK K1CHECK: MOV SP,#60H ;设置堆栈指针 MOV TMOD,#01H ;初始化定时器及器中断 MOV R1,#0001H ;蜂鸣器响声计数 SETB ET0 ;开定时器0 中断 SETB EA ;开放中断 SETB TR0 ;启动定时器0 START0: SETB P2.3 MOV 30H,#00H NEXT: MOV A,30H MOV DPTR,#TABLE ;从TABLE 中读取数据――声响时间 MOVC A,@A+DPTR MOV R2,A JZ ENDD ANL A,#0FH MOV R5,A MOV A,R2 SWAP A ANL A,#0FH JNZ SING CLR TR0 JMP D1 SING: DEC A MOV 22H,A RL A MOV DPTR,#TABLE1 ;从TALBE1 中读取数据――声调 MOVC A,@A+DPTR MOV TH0,A MOV 21H,A MOV A,22H RL A INC A MOVC A,@A+DPTR MOV TL0,A MOV 20H,A SETB TR0 D1: CALL DELAY ;声音延时 INC 30H JMP NEXT ENDD: CLR TR0 DJNZ R1,START0 ;判断计数是否结束,否跳到START0处 LJMP MAIN INTT0: ;定时器0 中断服务程序 PUSH PSW PUSH ACC MOV TL0,20H MOV TH0,21H CPL P2.3 POP ACC POP PSW RETI ZHUAN1:LJMP K2 ZHUAN2:LJMP K3 DELAY: MOV R7,#02 DELAY0: MOV R4,#187 DELAY1: MOV R3,#248 DJNZ R3,$ DJNZ R4,DELAY1 DJNZ R7,DELAY0 DJNZ R5,DELAY RET TABLE: DB 82H,01H,81H,94H,84H,0B4H,0A4H,04H DB 82H,01H,81H,94H,84H,0C4H,0B4H,04H DB 82H,01H,81H,0F4H,0D4H,0B4H,0A4H,94H DB 0E2H,01H,0E1H,0D4H,0B4H,0C4H,0B4H,04H DB 82H,01H,81H,94H,84H,0B4H,0A4H,04H D

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档