基于FPGA的高速数据采集及分析.pdfVIP

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
AD9280 与AD9708 测试说明-2015 年8 月5 日 AD/DA 测试说明 本实验将采用华升科技的高速AD/DA 模块在开发板上实现数模转换和模数转换的功能, AD/DA 模块上包含一路8 位的模数(DA)转换电路和一路8 位的数模(DA)转换电路。模 块的实物图如下所示: 模数(AD )转换电路采用AD 公司的 AD9280 芯片,数据宽度为 8 位,最大采样率位 32MSPS 。数模(DA)转换电路采样的是AD 公司的AD9708 芯片,数据宽度为8 位,最大采 样率为125MSPS。AD/DA 模块的详细说明请看模块的相关资料。 一、 硬件连接 把AD/DA 模块插入到FPGA 核心板的外部40 针的扩展口(J5 )上,另外用跳冒将AD/DA 1 / 21 AD9280 与AD9708 测试说明-2015 年8 月5 日 模块的输出和输入链接起来,硬件连接后如下图所示: AD/DA 模块和FPGA 核心板连接后,跟FPGA 连接的管脚情况如下: AD 信号 FPGA 管脚 DA 信号 FPGA 管脚 AD Clock P47 DA Clock P46 AD Data[0] P75 DA Data[0] P33 AD Data[1] P74 DA Data[1] P34 AD Data[2] P67 DA Data[2] P35 AD Data[3] P66 DA Data[3] P40 AD Data[4] P62 DA Data[4] P41 AD Data[5] P61 DA Data[5] P43 AD Data[6] P50 DA Data[6] P44 AD Data[7] P48 DA Data[7] P45 二、 程序设计 本实验中,程序要输出8 位的正弦波数据使得AD/DA 模块的输出一个正弦波信号,这 个正弦波信号通过跳冒又输入到AD 电路,程序读取AD 的数据输出显示在Chipscope 中。 1.生成ROM 文件 程序中用到一个 ROM 用于存储512 个8 位的正弦波数据,首先需要准备ROM 的初始 化文件(coe 文件)。以下为生成正弦波数据coe 文件的方法: -首先打开Guagle_wave 工具,选择菜单“查看”- “全局参数设置”,设置参数如下: -在菜单“设定波形”里选择正弦波。 2 / 21 AD9280 与AD9708 测试说明-2015 年8 月5 日 -保存为mif 格式的文件。 - 因为Xilinx 的ROM 的初始化文件coe 的格式和mif 文件格式不一样,所以需要把生成 的mif 文本文件或者excel 重新编辑如下的格式(十六进制,512 个数据长度): 3 / 21 AD9280 与AD9708 测试说明-2015 年8 月5 日 -修改后保存为sin512.coe 。 生成coe 文件后,在ISE 项目中添加一个ROM IP 核,选择Project-New Source,在弹出的窗 口选择IP (CORE Generator…),File

文档评论(0)

nuvem + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档