EDK实验教程中文翻译lab3mb(上).docVIP

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDK实验教程中文翻译lab3mb(上)

实验3: 添加自定制IP实验 Targeting MicroBlaze? on Spartan?-3E Starter Kit 实验3: 添加自制IP实验 介绍 这个实验介绍如何运用添加外设向导工具:Creat/Import Peripheral 为系统添加自定制 OPB 外设 IP。 目标 在完成这个实验后,你将达到以下目标: ? 为你的设计添加一个自定制 IP ? 通过修改 UCF 文件来添加管脚位置约束 ? 实现这个设计 ? 下载并验证 步骤 本实验的目的是完成,开始于实验一并在实验二扩展的硬件设计,包括 the MicroBlaze, debug module, UART, OPB GPIO for LEDs, LMB BRAM controller, and LMB BRAM以及实验二添加的两个 GPIO实例。 在本实验中,你将添加一个LCD的MYIP实例,来进一步扩展实验。 在这个实验中,我们使用 Xilinx Platform Studio (XPS)中的 Creat/Import Peripheral 添加外设向导从一个 HDL 模型建立一个用户外设 IP,并把此 IP 添加到我们的工程当 中,并且通过编辑 system.ucf 文件为 on-board 7-segment LCD 模块提供外部接口。(图3-1) 图3-1 完整的设计 本实验有若干步骤组成,包括添加一个自制OPB外设。这个实验虽然对硬件作出的更改是简单的,但是这个实验举例说明了使用添加外设向导工具 Creat/Import Peripheral 添加用户外设的通用方法。这个实验同时也举例说明了一个外设 IP 的 OPB 总线添加及应用。 每一步操作都有简单的说明(图例)。这些说明只是提供一个比较概括的说明。在这些说明的指导下,你在实验过程中将会发现有很多一步步的指导和举例数据提供更详细的说明。如果你已经能很熟练完成,你可以跳过一步步的说明,直接跳到下一步。 打开工程 Step 1 实验总体流程图General Flow for this Lab: 创建一个 lab3文件夹,如果你想继续前面的实验中的设计,你可以把 lab2文件夹中的内容拷到lab3。 启动 Xilinx Platform Studio (XPS)。 1. 如果你想继续实验2中的设计,你可以通过c:\xup\embedded\labs directory 复制lab2文件夹的内容到lab3mb文件夹 单击Start ( Programs ( Xilinx Platform Studio 8.2i ( Xilinx Platform Studio打开XPS 使用向导创建一个定制 OPB外设 步骤2 实验总体流程图: 在 XPS中,通常是使用 Create/Import Peripheral Wizard向导,以一种已创建的模式来创建一个HDL模版添加LCD控制器到OPB总线。 点击 XPS中的 Hardware ( Create or Import Peripheral,打开向导 点击 Next 将开始 Create and Import Peripheral Wizard’s 流程(图3-2) 图3-2创建/导入用户外设向导之创建模版 在选择流程页面,选择Create templates for a new peripheral ,点击 Next To an XPS project ,已经自动选择好了,工程的路径也已经设置好了。 图3-3 添加到库/工程对话框 点击 Next ,在文件名一栏键入lcd_ip,版本默认为 1.00.a,点击 Next (如图3-4) 图 3-5.设置 IP 名称及版本号 在总线接口界面,选择Peripheral Bus (OPB),点击 Next 图 3-6. 选择总线结构 继续在向导选择 select User Logic S/W Register support。选择一个可寻址32位寄存器。生成模版。浏览C:\xup\embedded\labs\lab3 向导并回答步骤2结尾的问题。 在 IPIF Services页面,选择 User Logic S/W Register Support,点击 Next。 图3-6 IPIF 选项设置 在 User S/W Register 页面,选择 “Disable posted write…” ,点击 Next, 保持默认寄存器设置值。 图3-7

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档