4位数值比较器设计-电子技术课程设计报告.docx

4位数值比较器设计-电子技术课程设计报告.docx

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
4位数值比较器设计-电子技术课程设计报告

电子技术课程设计报告题目:4位数值比较器设计学生姓名:学生学号:年级:专业:班级:指导教师:机械与电气工程学院制2016年11月4位数值比较器设计机械与电气工程学院:自动化专业1.课程设计的任务与要求 1.1 课程设计的任务采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求设计一个4位数值比较器的电路,对两个4位二进制进行比较。采用74Ls85集成数值比较器。(3)要有仿真效果及现象或数据分析。2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FAB=FA3B3+FA3=B3FA2B2+FA3=B3FA2=B2FA1B1+FA3=B3FA2=B2FA1=B2FA0B0+FA3=B3FA2=B2FA1=B1FA0=B0IAB (2-1)FAB=FA3B3+FA3=B3FA2B2+FA3=B3FA2=B2FA1B1+FA3=B3FA2=B2FA1=B2FA0B0+FA3=B3FA2=B2FA1=B1FA0=B0IAB (2-2)FA=B=FA3=B3FA2=B2FA1=B1FA0=B0IA=B (2-3)IAB、IAB和IA=B称为扩展输入端,是来自地位的比较结果。扩展输入端与其他数值比较器的输出连接,以便组成位数更多的书值比较器。若仅对4位数进行比较时,IAB、IAB、IA=B进行适当处理,IAB=IAB=0,IA=B=1。2.2 四位数值比较器设计方案四位数值比较器主要由一个74LS85和三个信号灯组成。通过四个开关的开通和闭合接收用户输入。通过信号灯的亮与不亮来判断数值得大小。黄灯亮表示AB;红灯亮表示AB;绿灯亮表示A=B。其流程图如下图1所示。信号灯判断AB四位数值比较器数据输入A=BAB图1四位数值比较器电路组成框图3.四位数值比较器设计的技术方案3.1四位数值比较器单元模块功能及电路设计3.1.1输入电路设计输入电路设计采用单刀双掷开关。单刀双掷开关的由动端和不动端组成,动端就是所谓的“刀”,它应该连接电源的进线,也就是来电的一端,一般也是与开关的手柄相连的一端;另外的两端就是电源输出的两端,也就是所谓的不动端,它们是与用电设备相连的。它的作用,一是可以控制电源向两个不同的方向输出,也就是说可以用来控制两台设备,或者也可以控制同一台设备作转换运转方向使用。在这个电路中单刀双掷开关控制高低电平,从而控制输入端,使得A、B电平变化,实现四位数值比较。图2输入电路设计3.1.2 信号输出电路设计信号灯里面装有一个传感器,当信号灯发出去的光被镜面反射回来时,传感器接收到信号,你能保证信号灯亮的,只要有一点光接受就可以,所以只要不完全挡住灯都会亮。图3 输出电路设计3.2主要芯片介绍3.2.1 74L85D芯片 74LS85芯片是四位数值比较器,共有54/7485、54/74S85、54/74LS85三种线路结构型式。74LS85D可进行二进制码和BCD码的对比,对两个4位字的比较结果有三个输出端(AB,A=B,AB)。两个4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2和B2,余类推。显然,如果两数相等,那么,比较步骤必须进行到最低位才能得到结果。其功能结构电路如下图5。四位数值比较器真值表如下表1所示。图4 74LS85D芯片图5 75Ls85D功能结构电路设计图表1 四位数值比较器真值表比较输入输出A3 B3A2 B2A1 B1A0 B0LGM***100=**100==*100===100====010***001=**001==*001===0013.3、四位数值比较器整体电路原理图图6 四位数值比较器整体电路原理图3.4元器件清单表3 元器件清单名称规格型号编号数量四位数值比较器74LS85DU11PROBE黄色2.5VX11PROBE红色2.5VX21PROBE绿色2.5VX31单刀双掷开关TD_SW1s1、s2、s3、s444 四位数值比较器设计的仿真实现 4.1仿真软件的介绍本次课程设计采用Multisim 12.0来实现数字电子钟的仿真,它是电子线路分析与设计的优秀仿真软件,其界面直观、操作方便,

文档评论(0)

feixiang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档