[信息与通信]万年历时钟课程设计报告.doc

[信息与通信]万年历时钟课程设计报告.doc

  1. 1、本文档共48页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[信息与通信]万年历时钟课程设计报告

单片机课程设计报告 题目: 万年历时钟 设计者1: 负责任务: 软件、硬件 专业班级/学号: 指导教师: 答辩时间: 2011-6-22 目录 一、 选题的背景 3 1、 选题的来源、目的、意义 3 2、课题承担人员及分工说明 3 二、 课题总体设计说明 3 2、课题总体设计方案,比较几个备选方案,确定最终方案 4 三、 硬件设计说明 4 1、硬件总体设计方案 4 2、硬件单元设计 5 四、 软件设计说明 7 1、软件总体设计方案 7 2、软件设计 9 五、 软硬件调试说明 12 六、 课题开发总结 13 七、 用户操作说明 13 八、 参考资料 13 九、 附件 13 2、硬件设计的总电路原理图、PCB版图 14 3、硬件电路板外观图片、PROTEUS仿真效果图 15 选题的背景 1、 选题的来源、目的、意义 (1)选题来源: 通过查资料了解了些课程设计的题目,再通过自己跟同学的讨论从而确定下题目来,并在网上找资料来帮助自己。 (2)选题目的: 巩固所学的电路知识,掌握一般电路的设计方法,锻炼自己的动手能力,在整个过程中培养自己发现问题,分析问题,并解决问题的能力,达到学以自用的目的。 (3)选题意义: 利用所学的模拟电子技术,数字电子技术和单片机原理与应用技术知识来设计电路,从而理解和巩固所学的电路知识在实际当中的应用,并在模拟、画图、焊接的过程锻炼自己的动手能力,完成理论与实践的结合。 2、课题承担人员及分工说明 课题承担由本人自己承担包括软件的调试(protues的仿真)跟硬件的制作(PCB的封装)。 课题总体设计说明 1、 开发计划:现在protued软件上仿真跟调试出能用的电路图跟程序,设计好的电路的电路通过protel软件画出电路的原理图跟pcb图 ,画好图跟做好了板在把元器件焊在相应的电路上。 功能目标:可以通过按键来改变时间的值,然后把调好的时间按正常的1秒走。 技术指标:能够正常的显示,一天走的时间误差不超过5秒。 2、课题总体设计方案,比较几个备选方案,确定最终方案 方案一:采用汇编语言编写程序,使用STC89C51单片机,该程序语言功能强大, 调试较为方便,具有很强的实用性。 方案二:利用单片机的C语言编写程序,通过Keil C51 uVision2软件进行仿真模拟 图1--按键电路 (2)第二个模块的用DS1302来控制时间,如图2所示: 图2--DS1302电路 (3)第三模块的主要模块就是51单片,如图所示3: 图3--51单片机 (4)第四模块就是三片移位寄存器74LS164来控制数码管的段选,如图4所示: 图4--74LS164电路 (5)第五模块就是数码管的显示,如图5所示: 图5--数码管显示电路 (6)总原理图跟PCB见后面的附件图15、图16。 软件设计说明 1、软件总体设计方案 (1)软件设计目标 用proteus软件来实现硬件的面板控制转化为软件的虚拟电路控制,使得控制方便,条理清晰,对即将实现的功能进行模拟操作跟调整,有助于硬件功能的优化和更好的实现。 软件设计模块 模块一:按键电路,如图6所示: 图6--按键电路 模块二:DS1302芯片电路模块如图7所示: 图7--DS1302芯片电路 模块三:数码管显示电路如图8所示: 图8 --数码管显示电路 模块四:74LS164移位寄存器的电路设计如图9所示: 图9--74LS164电路 2、软件设计 (1)储单元空间分配 67H(高两位年) 66H(低两位年) 65H(月) 64H(星期) 63H(日) 62H(小时) 61H(分) 60H(秒) 57H(农历月) 56H(农历日) 显示缓冲单元7CH~7BH(4CH~4BH)年低位,7AH~79H(4AH~49H)月, 78H(48H)星期,77H~76H(47H~46H)日,75H~74H(45H~44H)时, 73

文档评论(0)

hhuiws1482 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:5024214302000003

1亿VIP精品文档

相关文档