数字电子课程设计报告汽车尾灯控制电路设计.docVIP

数字电子课程设计报告汽车尾灯控制电路设计.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字电子课程设计报告汽车尾灯控制电路设计

汽车尾灯控制电路设计 班级: 学号: 姓名: 指导教师: 总体设计要求及系统功能描述 设计一个汽车尾灯控制的电路; 用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。 当汽车往前行驶时,6个灯全灭; 汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反; 踩刹车时,汽车灯全亮; 紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。 系统设计方案论述 1) 端口 数据类型 功能 备注 CLK STD_LOGIC 时钟信号 输入 zuo STD_LOGIC 左转向信号 输入 you STD_LOGIC 右转向信号 输入 sha STD_LOGIC 刹车信号 输入 en STD_LOGIC 双闪信号 输入 z_out STD_LOGIC_VECTOR(2 downto 0) 左灯输出 输出 y_out STD_LOGIC_VECTOR(2 downto 0) 右灯输出 输出 2) 顶层电路图 3)设计源程序说明: library ieee; use ieee.std_logic_1164.all; entity weideng is port(zuo,you,clk,en:in std_logic; z_out:out std_logic_vector(2 downto 0); y_out:out std_logic_vector(2 downto 0) ); end weideng; architecture qiche of weideng is signal zo,yu,sha:std_logic; begin process(zuo,you) variable a:std_logic_vector(1 downto 0); ------------定义刹车(sha)信号-------------- begin a:=zuoyou; case a is when 00=zo=0; yu=0; sha=0;------------若左右信号均为0,则不是刹车信号 when 10=zo=1; yu=0; sha=0;------------若左为1右为0,也不是刹车信号 when 01=yu=1; zo=0; sha=0;----------若右为1左为0,不是刹车信号 when others=yu=1; zo=1; sha=1;---------只有左右都是1,才是刹车信号 end case; end process; --------------------左边三个灯------------------ process(clk,en,sha,zuo,) variable tmp:std_logic_vector(2 downto 0); begin if sha=1 then tmp:=111;--------若输入刹车信号,三个灯全亮 elsif clkevent and clk=1 then if en=1 then if tmp =000 then tmp:=111; else tmp:=000;----------若输入紧急(en)信号,灯双闪 end if; elsif zuo=0and you =0 then tmp:=000;------------若左右输入信号为0时,灯全不亮 elsif zuo=1 then if tmp=000 then tmp:=001;------------若输入左转信号,三个灯顺序点亮 else tmp:=tmp(1 downto 0)0; end if; end if; end if; z_out=tmp; end process; -------------------------右边三个灯-------------------------- process(clk,en,sha,you) variable tmp:std_logic_vect

文档评论(0)

gz2018gz + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档