systemve的rilog课件.ppt

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
systemve的rilog课件

HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST HUST HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST 哈尔滨理工大学 软件学院 School of software Harbin University of Science and Technology HUST System verilog简介 验证方法学 为什么要学习SV? 相关知识的了解 主要应用 SV与verilog的区别 重点语法简单介绍 * HUST */16 SystemVerilog简称为SV语言,是一种相当新的语言,它建立在Verilog语言的基础上发展出来的。 SystemVerilog结合了来自 Verilog、C/C++的概念,还有验证平台语言和断言语言,也就是说,它将硬件描述语言(HDL)与现代的高层级验证语言(HVL)结合了起来。使其对于进行当今高度复杂的设计验证的验证工程师具有相当大的吸引力。 * */16 HUST Systemverilog除了作为一种高层次,能进行抽象建模的语言被应用外,它的另一个显著特点是能够和芯片验证方法学结合在一起,即作为实现方法学的一种语言工具。使用验证方法学可以大大增强模块复用性、提高芯片开发效率,缩短开发周期。芯片验证方法学中比较著名的有:VMM、OVM、AVM和UVM等。 * */16 HUST SystemVerilog 有如下优点: 1)同时支持设计和验 证的标准语言。 2)支持约束随机的产生。 3)支持覆盖率统计分析。 4)支持断言验证。 5)面向对象的编程结构,有 助于采用事务级的验证和提高 验证的重用性。 6 ) 有多种验证方法学的支持 * HUST */16 * HUST */16 新增接口(interface) 类似C语言中的数据类型,如int 用户自定义类型(typedef) 枚举类型、结构体和联合体 类型转换($cast、强制类型转换) package ++、--、+=等类C赋值操作 通过引用(ref)传送到任务、函数和模块 * HUST */16 SystemVerilog与Verilog语言相比,新增了的许多用于FPGA设计功能,具有比verilog更清晰、准确、简洁的硬件描述能力,FPGA供应商和EDA工具供应商的综合工具使SystemVerilog的设计拥有比在Verilog更容易理解的风格和较高的抽象层次的描述,加快了编码进程和可重用性。 (参考文档:Using SystemVerilog for FPGA Design – 中文.docx) * HUST */16 1、编写受控随机的、分层的、可重用的测试平台的能力——Test。Verilog编写测试平台的能力就相当于采用最基本的C语言结构编程,而采用SystemVerilog编写测试平台则相当于采用C++按照面向对象的思想编程。 2、在设计描述和测试平台中添加断言的能力——Assertion。断言这个工具,在软件开发中早就广泛应用了。在单元测试、集成测试和功能覆盖率评估中,断言都是一个很强大的工具。 3、更高抽象层次的系统描述能力——Architectural modeling。OOP、Dynamic Threads、Interprocess Communication、行为级和事物级的描述能力,从名称上看来确实高级。 * HUST */16 数据类型 除了 Verilog已提供的硬件设计所需要的网线和变量等数据类

文档评论(0)

liwenhua00 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档