[计算机软件及应用]EDA-06.ppt

  1. 1、本文档共46页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[计算机软件及应用]EDA-06

1、基本门电路 2、编码器 设计一个 8 输入优先级编码器,y0 级别最低, y7 级别最高;输出为3位编码。 3-8译码器仿真结果: 加法器仿真结果: 可逆计数器仿真结果: 60进制计数器仿真结果: 可逆计数器(加减计数器) 例:六十进制(分、秒)计数器 library ieee; use ieee.std_logic_1164.all; entity p_check is port(a:in std_logic_vector(7 downto 0); y:out std_logic); end p_check; architecture art of p_check is signal tmp:std_logic; begin process(a) begin tmp=0; for n in 0 to 7 loop tmp=tmp xor a(n); end loop; y=tmp; end process; end art; architecture art of p_check is begin process(a) variable tmp:std_logic; begin tmp:=0; for n in 0 to 7 loop tmp:=tmp xor a(n); end loop; y=tmp; end process; end art; 或门逻辑描述 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2a IS PORT (a, b :IN STD_LOGIC; c : OUT STD_LOGIC ); END ENTITY or2a; ARCHITECTURE one OF or2a IS BEGIN c = a OR b ; END ARCHITECTURE one ; 半加器描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT (a, b : IN STD_LOGIC; co, so : OUT STD_LOGIC); END ENTITY h_adder; ARCHITECTURE fh1 OF h_adder is BEGIN so = NOT (a XOR (NOT b)) ; co = a AND b ; END ARCHITECTURE fh1; 【例4-19】 LIBRARY IEEE; --1位二进制全加器顶层设计描述 USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT ( ain,bin,cin : IN STD_LOGIC; cout,sum : OUT STD_LOGIC ); END ENTITY f_adder; ARCHITECTURE fd1 OF f_adder IS COMPONENT h_adder PORT ( a,b : IN STD_LOGIC; co,so : OUT STD_LOGIC); END COMPONENT ; COMPONENT or2a PORT (a,b : IN STD_LOGIC; c : OUT STD_LOGIC); END COMPONENT; 河北科技师范学院机电工程学院 基本逻辑电路: 组合逻辑电路、时序逻辑电路 3.9.1 组合逻辑电路设计 简单门电路、编码器、译码器、加法器、多路选择器、三态门等。 3.9 基本逻辑电路设计 方法1:利用 if 多选择语句自顶向下的优先特性 方法2:进程内为顺序语句,最先描述优先级最低,最后描述优先级最高,可实现优先级编码。 方法3:利用条件赋值语句 architecture behavior of priority is begin vec = “111” when y7 = ‘1’ else

文档评论(0)

qiwqpu54 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档