电子信息工程基于VHDL的病房呼叫系统报告.doc

电子信息工程基于VHDL的病房呼叫系统报告.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子信息工程基于VHDL的病房呼叫系统报告

可编程逻辑器件应用 项 目 报 告 书 项目名称:病房呼叫系统 指导老师: 龚兰芳 姓 名: 李诗华 学 号: 080212215 班 级: 08电子2班 目录 一、设计要求-------------------------------------------------------------------------------- 二、设计方案-------------------------------------------------------------------------------- 三、设计程序-------------------------------------------------------------------------------- 四、管脚分配--------------------------------------------------------------------------------- 五、硬件下载实现现象描述----------------------------------------------------------- 六、体会与收获----------------------------------------------------------------------------- 一、 设计要求 1.用1~9个开关模拟9个病房的呼叫输入信号,1号优先级最高;1~9优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 二、设计方案 用层次化的设计,将功能分为三个部分,第一部分输入模块,用来输入八个病房的房号。第二部分输出模块,用来显示八个病房的房号。第三部分显示模块,使优先级低的病房房号用彩灯显示出来。 三、设计程序 第一部分:抢答模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity jnb is port(clk,i:in std_logic; u,r,t,y:in std_logic; led3: out std_logic_vector(3 downto 0); k:out std_logic_vector(2 downto 0); o:out std_logic_vector(3 downto 0)); end; architecture one of jnb is signal n:integer range 0 to 1; signal clk_1k,clk_100h:std_logic; signal data:std_logic_vector(3 downto 0); signal s:std_logic_vector(6 downto 0); signal h:std_logic_vector(2 downto 0); signal j:std_logic_vector(3 downto 0); begin process (clk) variable cnt1:integer range 0 to 250; variable cnt2:integer range 0 to 100; begin if clkevent and clk=1 then if cnt1=250 then cnt1:=0; if cnt2=100 then cnt2:=0; clk_1k=not clk_1k; else cnt2:=cnt2+1; end if; else cnt1:=cnt1+1; end if; end if; end process; process(clk_1k) begin if i=1 then if n=0 t

您可能关注的文档

文档评论(0)

weizhent2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档