- 1、本文档共96页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第二章VHDL语言应用基础1(基本结构和数据类型)
练 习 1、编写包含全加器的结构体代码。 2、编写3输入与非门的结构体代码。 Full_adder a b c sum co and3 a b y c ARCHITECTURE example1 OF Full_adder IS BEGIN sum= a XOR b XOR c; c = (a AND b)OR(b AND c)OR(a AND c); END example1; ARCHITECTURE example2 OF and3 IS BEGIN y= a AND b AND c; END example2; 练 习 答 案 * 信号和变量的区别 信号 变量 赋值符号 = := 功能 电路的内部连接 内部数据交换 作用范围 全局,进程和进程之间的通信 进程的内部 行为 延迟一定时间后才赋值 立即赋值 信号、变量、常数对比 1、定义 Signal A: std_logic; Variable A: std_logic_vector(7 downto 0); Constant A: integer :=6 ; 2、赋值及赋值时刻 A = “1010”;(延时) A := “1010”; (立刻) 3、定义区域 信号:实体、结构体、程序包 变量:进程、子程序 常数:实体、结构体、程序包、块、进程、子程序 4、适用范围 信号:实体、结构体、程序包 变量:定义了变量的进程、子程序的顺序语句中 常数:视其定义的位置而定 若常数定义在实体中,适用范围是实体所对应的有结构体。 若常数定义在结构体中,适用范围就是本结构体。 现在来看一下例3-1中两个进程描述的语句。首先,由于信号A发生变化使进程语句开始执行。这样一来,仿真器对进程中的各语句自上至下的进行处理。 尽管D中先代入A值,后代入C值,在时间上有一个延时,但是在代入时由于不进行处理,因此仿真时认为是时间0值延时。因此D的最终值应为C。 结果: 这里D是变量。在执行“D:=A;”语句以后,A的值就被赋给D,所以X为B+A.此后又执行“D:=C;”,从而使Y为B+C。 所以,从这两个例题可以看出,信号量的值将进程语句最后所代入的值作为最终代入值。而变量的值一经赋值就变成新的值。这就是变量赋值和信号代入在操作上的区别。 执行结果为: x=c xor b, y=c xor b 执行结果为: x=c xor a, y=c xor b 二、Data Type 1. 标准定义的数据类型 不必用USE说明而直接使用。 1)Boolean(布尔量):取值为FALSE和TRUE。 2)Character(字符):使用时用单引号括起来,如:‘A’。注意:此时要分大小写,与‘a’不一样。 3)String(字符串):使用时用双引号括起来,如: ‘‘ 111000101 ’’ 。 4)Integer(整数):范围在-(231-1)~(231-1) 5)Real(实数):范围在-1.0E+38 ~+ 1.0E+38 6)Bit(位):取值为0或1. 7)Time(时间):取值范围与整数一致,一般用于仿真。整数与单位之间至少留一个空格:5 sec 8)Bit_vector(位矢量):基于BIT数据类型的数组。使用时必需注明宽度。 9)Natural(自然数)和Positive(正整数):是整数的一个子类型。 10)Severity level(错误等级):用来设计系统的工作状态。有四种状态值:NOTE(注意)、WARNING(警告)、ERROR(错误)、FAILURE(失败)。 2. 用户自定义的数据类型 1)Enumeration Types(枚举类型)格式如下: TYPE 数据类型名 IS (枚举文字,枚举文字…); 例如:TYPE color IS (red,green,yellow,blue); TYPE level IS (‘0’,’1’,’Z’); 2)Integer Types(整数类型)和 Real Types(实数类型)格式为: TYPE 数据类型名 IS RANGE 约束范围; 例如: TYPE int IS INTEGER RANGE -10 TO 10; 3)Array Types(数组类型)格式如下: TYPE 数据类型名 IS ARRAY(索引范围)OF类型名称 ; 例如:TYPE a IS ARRAY(integer0 TO 9)OF std_logic; 这种由用户做的数据类型定义是一种利用其它已定义的说明所进行的“假”定义,因此它不能进行逻辑综合。 3. IEEE预定义标准逻辑位与
您可能关注的文档
- 09-10第一学期期末《语文》期末试卷A卷(高新).doc
- 国学概论l论文.doc
- 2011年高考理科数学解答题16三角函数.doc
- 苏教版四年级数学下册 第十三单元 表格式教案.doc
- 树叶妹妹的新装.doc
- 弘扬革命精神 振兴中华民族---纪念辛亥革命100周年宣传图片.pdf
- 2013.3.18庞丽娟访问.doc
- 我的特岗故事—扎根农村,服务农村.doc
- 面向大影像中心的PACS后台架构设计.pdf
- 如何制作太阳雨效果.doc
- 在全市2025年企业供需对接暨营商环境优化会议讲话发言材料.docx
- 在全市人才发展与古城建设推进会议上的讲话发言材料.docx
- 县住建局长在市政基础设施维护调度会上的讲话发言材料.docx
- 在全市2025年人才工作支持产业高质量发展推进会议上的讲话发言材料.docx
- 在全市综治中心规范化建设推进会议上的讲话发言材料.docx
- 区委书记在省委全会分组讨论会上的发言材料.docx
- 在全市经济社会发展暨重点工作推进会议上的讲话发言材料.docx
- 在全市水电气计量收费整治工作会议上的讲话发言材料.docx
- 在全市中小企业商业价值信用贷款工作推进会议上的讲话发言材料.docx
- 在全市2025年中小企业数字化转型推进会上的讲话发言材料.docx
文档评论(0)