Lab14_1002班_U201013975_.docxVIP

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
Lab14_1002班_U201013975_

Lab 12 DDS信号发生器 (time quest的使用)朱振文 电子1001班 U201013975实验目的掌握quartus软件的time quest TA的使用,能够对具体的verilog代码进行相应的时序分析。实验内容给定一个DDS信号发生器的源代码,使用verilog语言编写其顶层调用模块dds_test.v.编写时序分析约束条件。使用quartus实现DDS信号发生器的时序分析。观察时序分析。代码分析要用quartus实现DDS信号发生器的时序分析,需要建立相应的时序分析约束调节模块。clock使用CLOCK_50(50MHz) create_clock -period 20 -name mainclk [get_ports {CLOCK_50}]derive_pll_clocksderive_clock_uncertaintyDDS顶层调用模块dds_test。 module dds_test( input CLOCK_50, output [15:0]GPIO0_D ); dds the_dds_inst( .FreqWord(24h10000), .PhaseShift(24h0), .Clock(CLOCK_50), .ClkEn(1b1), .Out(GPIO0_D) ); endmodule3)DDS信号发生器的源代码。 module dds#( parameter PHASE_W = 24, parameter DATA_W = 16, parameter TABLE_AW = 12, parameter MEM_FIFL=SineTable.dat)( input [PHASE_W - 1 : 0] FreqWord, input [PHASE_W - 1 : 0] PhaseShift, input Clock, input ClkEn, output signed [DATA_W - 1 : 0] Out) ; reg signed [DATA_W - 1 : 0] sinTable[2 ** TABLE_AW - 1 : 0]; // Sine table ROM reg [PHASE_W - 1 : 0] phase; // Phase Accumulater wire [PHASE_W - 1 : 0] addr = phase + PhaseShift; // Phase Shift assign Out = sinTable[addr[PHASE_W - 1 : PHASE_W - TABLE_AW]]; // Look up the table initial begin phase = 0; $readmemh(SineTable.dat, sinTable); // Initialize the ROM end always@(posedge Clock) begin if(ClkEn) phase = phase + FreqWord; end endmodule实验步骤 打开Quartus软件,选择“File=Open Project ”,在弹出的窗口中选择项目的名称dds_test并打开。点击菜单compile/start compilation开始编译或点击软件中上部分的编译按钮。 编完成后单击“确定 ”。点击中上方的time quest time Analyzer快捷键打开time quest 窗口。打开后的窗口:单击time quest窗口下的”N etlist setup=Create timing Netlist”建立网络表。打开”file=new SDC file”建立SDC文件。 打开”edit/Insert constraint/creat clock”进行时钟创建,填入如下信息。 7)点击targets栏的上述指示位置打开加载窗口,点击“list”选择clock_50加载到右边窗口中,最后点击ok.最终显示如下页面,单击insert.输入完整代码,最终显示如下,然后单击保存,输入文件名为SDC,sdc.回到quartus主页面,选择“Processing=》Start Compilation”开始编译,编译通过后可以查看生成的Report,单击“确定”完成。回到time quest页面,单击”slack/report setup summary”,跳出如下窗口。 选中mainclk,单击鼠标右键选择report timing.,将跳出的窗口改成如下信息,而后单击report timing显示时序分析结果。实验结果的测试和分析时序分析结果表显示的事慢速模型。可观察该表判断该时序电路的情况。实验总结通过该实验让我初步懂得了时序分析的基本步骤及作

文档评论(0)

asd522513656 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档