- 1、本文档共13页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA实训作业程序实训报告精选
1、计数器的设计
用VHDL语言设计一个模为60,具有异步复位、同步置数功能的计数器,并用QuartusII软件仿真。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count60 is
port(clk,clr,en:in std_logic;
jishu_gout:out std_logic_vector(3 downto 0);
jishu_siout:out std_logic_vector(3 downto 0));
end count60;
architecture behave of count60 is
signal jishu1,jishu10:std_logic_vector(3 downto 0);
begin
process(clk,clr,en)
begin
if(clr=1)then
jishu1=(others=0);
jishu10=(others=0);
elsif(clkevent and clk=1)then
if(en=1)then
jishu1=0000;
jishu10=0000;
else
if(jishu1=1001and jishu10=0101)then
jishu10=0000;
jishu1=0000;
elsif(jishu11001)then
jishu1=jishu1+1;
else
jishu1=0000;
if(jishu100101)then
jishu10=jishu10+1;
else
jishu10=0000;
end if;
end if;
end if;
end if;
jishu_gout=jishu1;
jishu_siout=jishu10;
end process;
end behave;
60计数器的仿真结果:
2、分频器的设计:
用VHDL语言设计一个通用的可输出输入信号的2分频信号、4分频信号、8分频信号、16分频信号的分频电路,并用QuartusII软件仿真。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk_in:in std_logic;
clk2,clk4,clk8,clk16:out std_logic);
end fenpin;
architecture behave of fenpin is
signal q: std_logic_vector(3 downto 0);
begin
process(clk_in)
begin
if(clk_inevent and clk_in=1)then
q=q+1;
end if;
end process;
clk2=q(0);
clk4=q(1);
clk8=q(2);
clk16=q(3);
end;
分频器的仿真结果:
3、编码器的设计:
用VHDL语言设计一个8-3编码器的VHDL程序,并用QuartusII软件仿真。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity code83 is
port(din:in std_logic_vector(7 downto 0);
code:out std_logic_vector(2 downto 0)
您可能关注的文档
- 2011毕业设计开题报告格式精选.doc
- 2011年品管部年度总结报告(比较适用QQ1264652632)精选.ppt
- 2011管理类联考综合真题及答案精选.doc
- 2011营销学网上答案7-9精选.doc
- 2012届高考复习方案地理课件(新课标中国地图版)第10讲 水循环精选.ppt
- 2011某品牌微博营销执行方案精选.ppt
- 2012届高考语文古诗文阅读专题复习课件9精选.ppt
- 2012届高考语文第一轮考点知识复习课件28精选.ppt
- 2012届高考语文考点考向复习课件6精选.ppt
- 2012年国家公务员申论标准模拟试题及解析精选.doc
- springbooot+vue基于java的房屋维修系统毕业论文.doc
- 中国消防救援学院《单片机系统实验》2023-2024学年第一学期期末试卷.doc
- 2025年溧阳纺织化学品项目申请.pptx
- 景区门票包销合同模板(3篇).docx
- 【股票技术指标学习指南】第七章第三节货币需要量的测算.doc
- 2025春 _ 人教版七年级英语下册【unit4】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit5】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit6】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit7】看音标写单词.doc
- 2025春 _ 人教版七年级英语下册【unit8】看音标写单词.doc
最近下载
- 《边坡与结构体雷达监测技术要求》.pdf VIP
- 一元一次方程应用题100道 .pdf VIP
- kebf5变频器伺服使用使用说明书f5m.doc
- 2025湖北恩施州利川市选调市外教师60人笔试参考题库附答案解析.docx VIP
- 2025湖北恩施州利川市选调市外教师60人笔试模拟试题及答案解析.docx VIP
- 服务标响应速度方案.docx VIP
- 2025湖北恩施州利川市选调市外教师60人笔试备考题库及答案解析.docx VIP
- 2025湖北恩施州利川市选调市外教师60人备考试题及答案解析.docx VIP
- 砌筑井抹灰工程方案(3篇).docx VIP
- 2019通信中级传输与接入(有线)宝典.pdf VIP
文档评论(0)