基于QUATURS II软件与FPGA芯片技术的彩灯循环控制器设计.doc

基于QUATURS II软件与FPGA芯片技术的彩灯循环控制器设计.doc

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于QUATURS II软件与FPGA芯片技术的彩灯循环控制器设计

华南理工大学课程设计任务书 题目名称 基于QUATURS II软件与FPGA芯片技术的彩灯循环控制器设计 学生学院 自 动 化 学 院 专业班级 电子信息科学与技术08级2班 姓 名 学 号 一、课程设计的内容 1.熟悉Quartus II软件的基本操作与运用。 2.学会Quartus II与FPGA的综合运用。 3.利用各种数字逻辑器件设计一个彩灯循环控制器。 4.利用VHDL语言设计一个彩灯循环控制器。 二、课程设计的要求与数据 1.彩灯能够自动循环点亮 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出 三、课程设计应完成的工作 1.基本工作: 1).电路设计,编写代码 2).电路输入建立工程 3).模拟仿真,分配管脚 4).编译,下载 5).实验结果验证 6).完成实验报告 2.设计工作: 1).彩灯能够自动循环点亮 2).彩灯循环显示且频率快慢可调。 3).该控制电路具有8路以上输出 4).用原理图与VHDL语言设计实现以上功能 四、课程设计进程安排 序号 设计各阶段内容 地点 起止日期 1 了解Quaturs II软件,阅读任务书, 查找相关资料 实验2号楼214 2007-12-3 2 设计要求的原理图,输入QUATURS II软件中,进行设计与调试 实验2号楼214 2007-12-4 3 对原理图进行编译并分配好管脚,然后下载与仿真 实验2号楼214 2007-12-5 4 以VHDL语言在Quaturs II软件进行设计、编译、下载、仿真 实验2号楼214 2007-12-6 5 整理数据,完成实验报告与总结 宿舍 2007-12-7 五、应收集的资料及主要参考文献 1.王诚..[等]编著,Altera FPGA/CPLD设计[专著]?,北京:?人民邮电出版社,2005 2.高仁璟,孙鹏,陈景编著,数字电子技术基础与设计[专著]?,大连:?大连理工大学出版社,2004 3.李洪伟,袁斯华编著,基于Quartus II FPGA/CPLD 设计?,北京:?电子工业出版社,2006 4.谢云、易波...[等]编著,现代电子技术实践课程指导,北京:机械工业出版社,2003 5.阎石.《数字电子技术基础(第五版)》.北京.高等教育出版社.2006 6.《MAX+plus II和Quartus II应用与开发技巧》 7.《数字逻辑集成电路手册》 发出任务书日期: 2007 年 12月 3 日 指导教师签名: 计划完成日期: 2007 年 12月 7 日 基层教学单位责任人签章: 主管院长签章 摘 要 在家庭装饰或商业区的灯光布置中经常需要完成彩灯循环点亮或数字计数等动态灯光效果,给生活增添光彩,那这彩灯是怎么可以这样显示呢?本设计按照这种灯的原理,应用数字电子技术的逻辑器件设计电路原理图以及编写VHDL语言来进行分析也编写,并在QUATURS II软件上实现编译,调试,波形仿真与分析,以及下载到FPGA上运行,实现彩灯功能,并能自由控制彩灯循环点亮的快慢,从而实现出现实中彩灯的原理。最终完成的是灯光装饰中的彩灯循环点亮,数字计数以及频率调节等功能的设计。 通过本实训,能够初步学会与理解QUATURS II软件与FPGA芯片的综合运用,还能有效地了解与实现现在生活中用到的与看到的,更深入地了解理论与生活的联系,从而更能了解社会,寻求发展方向! 关键词:可编程逻辑器件 VHDL语言 计数调频 QUATURS II软件 目 录 1 设计任务目的及要求 5 1.1设计任务目的 5 1.2 设计要求 5 2 原理与模块介绍 5 2.1 设计原理 5 2.2 设计模块 5 3 设计方案 5 3.1 设计思想 5 3.2 设计流程图 5 4 实验结果与数据处理 5 4.1 测试步骤 5 4.2实验现象 5 4.3实验数据与结果 5 4.4波形仿真图 5 5 结论与问题讨论 5 5.1 实验结论 5 5.2 问题讨论 5 5.3 不足与改进 5 5.4 心得体会 5 参考文献 5 附录 5 附录一 引脚设计 5 附录二 设计电路原理图 5 附录三 VHDL语言源程序 5 1 设计任务目的及要求 1.1设计任务目的 1.可以通过这次课程设计,熟悉并掌握Quartus II 软件的使用,并了解ALTERA开发板的结构。 2.学习并掌握用原理图与VHDL语言进行设计,以此提高同学们的思考与动手能力,培养兴趣。 3.利用各种数字逻辑器件设计一个彩灯循环控制器 4.利用DE2(FPGA)板对所设计的电路进行验证。 5.总结电路设计结果并完成实训报告 1.2 设计要求 1.彩灯能够自动循环点亮 2.

文档评论(0)

almm118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档