- 1、本文档共41页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
最新libraryieee
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity led1616 is
port(clk:in std_logic;
hselect:out std_logic_vector(0 to 15);
ldata:out std_logic_vector(0 to 15));
--lcounter:out std_logic_vector(3 downto 0));
end entity led1616;
architecture one of led1616 is
signal cnt16:std_logic_vector(3 downto 0);
signal a:integer range 0 to 5;
begin
p1:process(clk)
begin
if clk=1then
case cnt16 is
when 0000=hselect=0111111111111111;
when 0001=hselect=1011111111111111;
when 0010=hselect=1101111111111111;
when 0011=hselect=1110111111111111;
when 0100=hselect=1111011111111111;
when 0101=hselect=1111101111111111;
when 0110=hselect=1111110111111111;
when 0111=hselect=1111111011111111;
when 1000=hselect=1111111101111111;
when 1001=hselect=1111111110111111;
when 1010=hselect=1111111111011111;
when 1011=hselect=1111111111101111;
when 1100=hselect=1111111111110111;
when 1101=hselect=1111111111111011;
when 1110=hselect=1111111111111101;
when 1111=hselect=1111111111111110;
when others=NULL;
end case;
end if;
end process p1;
p2:process(clk)
variable systemclk:integer range 0 to 999;
begin
if clkevent and clk=1then cnt16=cnt16+1;--lcounter=cnt16;
if(systemclk=999)then systemclk:=0;
if(a=5)then a=0;
else a=a+1;
end if;
else systemclk:=systemclk+1;
end if;
end if;
end process p2;
p3:process(clk)
begin
if clk=1then
if a=0 then
case cnt16 is --/*HU*/
when 0000=ldata=1000100001000000;
when 0001=ldata=0101010001001000;
when 0010=ldata=1010010001001111;
when 0011=ldata=1010010001001000;
when 0100=ldata=1010010001001000;
when 0101=ldata=1010010011001000;
when 0110=ldata=1011110000101000;
when 0111=ldata=1010010000011000;
when 1000=ldata=1110010000001111;
when 1001=ldata=1010010000100010;
when 1010=ldata=0011110001100010;
when 1011=ldata=1110010010011111;
when 1100=ldata=001001
文档评论(0)