二进制振幅键控(ASK)调制器与解调器设计.pptVIP

二进制振幅键控(ASK)调制器与解调器设计.ppt

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
二进制振幅键控(ASK)调制器与解调器设计

8.9二进制振幅键控(ASK) 调制器与解调器设计 ASK调制方法 数字信号对载波振幅调制称为振幅键控即 ASK(Amplitude-Shift Keying)。 ASK有两种实现方法: 1.乘法器实现法 2.键控法 1.乘法器实现法 乘法器实现法的输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。 带通滤波器的输出是振幅键控信号。 乘法器常采用环形调制器。 2.键控法 键控法是产生ASK信号的另一种方法。二元制ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。 数字电路实现键控产生ASK信号的实例 ASK解调方法有两种 1. 同步解调法 2. 包络解调法。 1.同步解调 同步解调也称相干解调, 信号经过带通滤波器抑制来自信道的带外干扰,乘法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰。 (1)发“1”码时情况 发“1”码时,输入的ASK信号为 ,它能顺利地通过带通滤波器。 为零均值的高斯白噪声,经过带通滤波器后变为窄带高斯噪声,用 表示。经过低通滤波器后,输出信号为x(t), 也就是取样判决器的输入信号。 (2)发“0”码时情况 发“0”码时,ASK信号输入为0,噪声仍然存在,经过低通滤波器后,输出信号为x(t), x(t)也是取样判决器的输入信号。 综合上面的分析,可得 下面讨论判决问题。 若没有噪声,上式简化为 此时判决电平取0~A的中间值A/2,大于A/2判为“1”码,小于A/2判为“0”码。在无噪声时,判决一定是正确的。 2.包络解调 包络解调是一种非相干解调 发“1”码时的情况 包络检波器的输入为,为信号加窄带高斯噪声,输出为信号加窄带高斯噪声的包络,它服从莱斯分布,如左图所示。 发“0”码时的情况 包络检波器输入为 ,输出 则为的包络,即噪声的包络,它服从瑞利分布,如上页图所示。其概率密度为 与同步解调相似,为使误码率最小,判决电平应 和 的交点的横坐标值,如 图中 ,称为最佳门限,经分析,得到 当信噪比 (即大信噪比)时, ASK调制VHDL程序及仿真 ASK调制方框图 ASK调制电路符号 ASK调制VHDL程序 --文件名:ASK.vhd --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 --最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK is port(clk :in std_logic; --系统时钟 start:in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end ASK; architecture behav of ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号 begin process(clk) begin if clkevent and clk=1 then if start=0 then q=0; elsif q=1 then f=1;q=q+1; --改变q后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then f=0;q=0; --改变q后面数字的大小,就可以改变载波信号的频率 else f=0;q=q+1; end if; end if; end

文档评论(0)

woai118doc + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档