数字电路ch3-4.pptVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字电路ch3-4

3.3 数据选择器 3.4.1 数据选择器的概念与原理 4选1数据选择器 4.3.2 集成电路数据选择器74LS151 74LS151的功能表 3.4.1 数据选择器的基本概念与工作原理 3.4.2 集成数据选择器 3.4.3 数据选择器的应用 数据选择:在通道选择信号的作用下,将多个通道的数据分时传送到公共的数据通道上。 数据选择器:是指能实现数据选择功能的逻辑电路。它的作用相当于多个输入的单刀多掷开关,又称“多路开关” 。 通道选择 数据输出 I 0 I 1 1 n 2 I - 4 选 1 数据选择器 4 路数据输入端 2 位地址码输入端 使能信号输入端,低电平有效 1路数据输出端 (1)逻辑电路 0 0 D0 D1 D2 D3 0 1 1 0 1 1 =1 0 0 × × 1 Y A B EI 地址 使能 输出 输 入 0 0 0 D0 0 0 1 D1 0 1 0 D2 0 1 1 D3 =0 功能表 数据选择器工作原理及逻辑功能 8 路数据输入端 3 个地址输入端 1个使能输入端 2个互补输出端 74LS151的逻辑图 1、 集成电路数据选择器74LS151的逻辑图 D7 W Y EN 74LS151 D6 D5 D4 D3 D2 D1 D0 C B A 74LS151功能框图 74LS151引脚图 2、74LS151示意框图和引脚图 D7 H H H L D6 L H H L D5 H L H L D4 L L H L D3 H H L L D2 L H L L D1 H L L L D0 L L L L H L X X X H A B C EN W Y 选 择 使 能 输 出 输 入 当EN=0时,Y的表达式为: 当EN=1时,Y=1 。 无效输出 。 (1)位的扩展:二位八选一的连接方法 3.4.3 数据选择器74LS151的应用 1.数据选择器的扩展: (2)字的扩展: 16选1数据选择器: 数据输入端:16路 通道地址码:4位。 16选1数据选择器 16选1数据选择器的连接 2、数据选择器实现组合逻辑函数 当EN=0时:输出Y的表达式为: 控制Di ,就可得到不同的逻辑函数。 D7 W Y EN 74LS151 D6 D5 D4 D3 D2 D1 D0 C B A 0 a、将函数变换成最小项表达式 b、将使能端EN接低电平 c、地址信号C、B、A作为函数的输入变量 d、数据输入D0~D7作为控制信号 组成函数产生器的一般步骤 * *

文档评论(0)

f8r9t5c + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:8000054077000003

1亿VIP精品文档

相关文档