HDL语言的适用层次示意图.doc

  1. 1、本文档共43页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
HDL语言的适用层次示意图

嵌入式系统是指用于执行独立功能的专用计算机系统。 HDL语言的适用层次示意图 实线框表示适用程度较高,虚线框表示适用程度较低 一个完整的设计流程包含哪些主要步骤,这些步骤的作用分别是什么? 完整的设计流程包括:电路设计与输入、功能仿真、综合、综合后仿真、实现、布线后仿真与验证、板级仿真与调试等主要步骤。 异步FIFO 握手协议电路???? 环路滤波器的带宽决定了其时钟输出的抖动和锁定时间? 带宽越大,越容易锁定,输出的抖动越容易受到输入时钟的影响? 带宽(band width)又叫频宽,是指在固定的时间可传输的资料数量。在数字设备中,频宽通常以bps表示,即每秒可传输之位数。在模拟设备中,频宽通常以每秒传送的周期或HZ来表示 Stratix的平面布局有什么特点,为什么建议用户的设计按照其平面布局来安排数据流和控制流的走向? 控制通道逻辑(MPI接口、PCI接口)和外部高速存储器接口功能分布于上下两边,数据通道接口尽量分布在器件的左右两边,让高速数据流横向流动。 综合是指将HDL语言、原理图等设计输入翻译成由与、或、非门,RAM,触发器等基本逻辑单元组成的逻辑连接,并根据目标及要求优化所生成的逻辑,最后输出edf或fvqm网表文件供布局线用。 仿真是验证当前设计是否满足功能及时序要求。 布局布线是将综合生成的逻辑网表适配到具体器件中,并把工程的逻辑和时序要求与器件的可用资源相匹配,它将每个逻辑功能分配给最好的逻辑单元位置,进行布线和时序,并选择 相应的互连路径和管脚分配。 编程与配置是将布局布线后的器件、逻辑单元和管脚分配转换成为该器件的配文件写入芯片中以便宜于测试。 VHDL程序基础 VHDL程序结构 实体描述 结构体描述 行为描述法 数据描述法 结构化描述法 实体的组成(实体的一般书写格式) ENTITY 实体名 IS [GENERIC(类型表);] ----可选项 [PORT(端口表);] ----必需项 实体说明部分; ----可选项 [BEGIN 实体语句部分;] END [ENTITY] [实体名]; 例子:add8实体说明部分(EDA工具对VHDL语言的大小写不加区别) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY add8 IS PORT ( b: in std_logic_vector(7 downto 0); a: in std_logic_vector(7 downto 0); Ci: in std_logic: Sum: out std_logic_vector(7 downto 0); Co: out std_logic;) END add8; 类型说明必须放在端口说明的前面。 类型说明在于规定端口的大小、I/O引脚的指派、实体中子元件的数目和实体的定时特性等信息 每一个I/O信号被称为一个端口,一个端口就是一个数据对象。端口可以被赋值,也可以当作变量用在逻辑表达式中。定义实体端口称作端口说明。 一般格式: Port(端口名:模式 数据类型名); 1、端口名的含义要明确,如D开头的表示数据,A开头的表示地址。。。。。。通常用几个英文字母或一个英文字母加数字表示:CLK,RESET,A0,D3 2、模式:说明数据、信号通过该端口的传输方向,有IN ,OUT ,BUFFER,INOUT i 输入:仅允许数据流入端口,信号的驱动源由外部向该设计实体内进行,主要用于时钟输入、控制输入(LOAD ,RESET,ENABLE,CLK)和单向的数据输入,如地址信号 。不用的输入一般接地,以免浮动引入干扰噪声 ii 输出:仅允许数据从实体内部输出,驱动源由被设计的实体内部进行。不能用于被设计实体的内部反馈,因为输出端口在实体内不能看作可读,常用计数输出、单向数据输出、设计实体产生的控制其他实体的信号等。一般而言,不用的输出端口不能接地,避免造成高电平时烧毁被设计实体。 Iii 缓冲:与输出模式类似,只是缓冲模式允许内部引用该端口的信号,可用输出,也用于反馈。用于在实体内部建立一个可读的输出端口,例如计数器输出,计数器的现态被用来决定计数器的次态。实体需要输出,也需要反馈,这时设计端口模式应为缓冲模式。 Iiii 双向模式 :可以代替输入模式、输出模式和缓冲模式。有些数据是双向的,数据可以流入设计实体,也有数据从设计实体流出,允许引入内部反馈。 一般而言:输入信号为输入模式,输出信号为输出模式,而双向数据信号,如PCI总线地址/数据复用总线,DMA控制器数据总线,都选用端口双向模式。 3.数据类型 VHDL语言的

文档评论(0)

xy88118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档