基于fpga的乘法器与除法器.docVIP

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于fpga的乘法器与除法器

任务书: 十六位硬件乘法器电路 八位硬件除法器电路 设计一个 目录 2.任务书………………………………………………………………………………………………2 3.摘要…………………………………………………………………………………………………2 4.目录…………………………………………………………………………………………………3 5.正文…………………………………………………………………………………………………4 5.1.1 乘法器系统设计……………………………………………………………………………4 5.1.1.1 设计要求…………………………………………………………………………4 5.1.2.2系统设计方案……………………………………………………………………4 5.1.2 单元电路设计………………………………………………………………………………4 十进制计算模块…………………………………………………………………5 BCD码转二进制模块……………………………………………………………5 8位右移寄存器模块……………………………………………………………6 8位加法器模块…………………………………………………………………7 1位乘法器multi_1模块…………………………………………………………7 16位移位寄存器reg_16模块…………………………………………………8 16位二进制转BCD码B_BCD模块………………………………………………9 8位乘法器multi_8x8顶层设计…………………………………………………10 5.1.3 乘法器的系统测试…………………………………………………………………………14 仿真分析………………………………………………………………………………14 硬件验证………………………………………………………………………………15 5.2.1 除法器系统设计 5.2.2单元电路设计……………………………………………… 5.2.3 除法器的系统设计……………………………………………… 仿真分析……………………………………………… 硬件验证……………………………………………… 6. 结论……………………………………………………………………………………………15 7. 参考文献………………………………………………………………………………………15 8. 分工……………………………………………………………………………………………15 5.正文 题目要求设计基于fpga的乘法器和除法器。本小组想出的方案是利用位移相加和相减来制作乘法器和除法器。运用的模块主要包括: 输入模块:运用计数器设编码器将bcd码编译为二进制码; 运算模块:包括运算器和寄存器; 输出模块:将运算器运算的结果的二进制数译码位bcd码在数码管上显示出来。 方案先进性:简单易懂,将乘法器和除法器分开制作便于分工。但缺点也显而易见,乘法器和除法器按照不同人的思维进行设计,不易于整合,变为两个独立的模块。 方案可行性:易于设计,但使用不便,需要重新烧入程序实现乘除法切换。5.1乘法器系统设计 设计要求 题目要求设计一个16位硬件乘法器电路.要求2位十进制乘法;能用LED数码管同时显示乘数,被乘数和积的信息.设置一个乘法使能端,控制乘法器的计算和输出. 系统设计方案 此设计问题可分为乘数和被乘数输入控制模块,乘法模块和输出乘积显示模块基本分. 乘数和被乘数的输入模块使输入的十进制数转化为二进制数输入乘法模块,乘法模块利用移位相加的方法将输入的两组二进制数进行相乘,并将16位乘积输出到乘积输出显示模块.显示模块将输入的二进制数按千,百,十,个位分别转化为十进制数输出. 乘数和被乘数的输入可用数据开关K1~K10分别代表数字1,2,…,9,0,用编码器对数据开关K1~K10的电平信号进行编码后输入乘法器进行计算.但此方案所用硬件资源较多,输入繁琐,故不采取. 方案二是利用硬件箱自带16进制码发生器,由对应的键控制输出4位2进制构成的1位16进制码,数的范围是0000~1111,即0H~FH.每按键一次,输出递增1,输出进入目标芯片的4位2进制数将显示在该键对应的数码管. 乘数和被乘数的输入模块将16进制码的A~F码设计成输出为null.使得减少了无用码的输入. 两数相乘的方法很多,可以用移位相加的方法,也可以将乘法器看成计数器,乘积的初始值为零,每一个时钟周期将乘数的值加到积上,同时乘数减一,这样反复执行,直到乘数为零.本设计利用移位相加的方法使得程序大大简化. 系统总体

文档评论(0)

woai118doc + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档