EDA技术与VHDL实用教程第3 Quartus II原理图输入法深入.ppt

EDA技术与VHDL实用教程第3 Quartus II原理图输入法深入.ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术与VHDL实用教程第3 Quartus II原理图输入法深入

EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 3.顶层电路两位二进制数乘法器设计 根据系统分析所得结论,可按后页图设计两位二进制数乘法器电路。 新建一个工程文件夹mult2,把hadd.bdf、hadd.bsf文件放入其中,新建一个原理图文件,使用插入符号命令,出现选择符号的界面,选择hadd.bsf将它放置于原理图编辑区中,以mult2.bdf命名并保存到mult2文件夹中。以此文件新建工程。调出有关其他元件并按下图连线,保存、编译并通过仿真。 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 通过编译仿真,其仿真波形如图 : 本例的底层电路符号用原理图设计输入法设计后生成,还可以用以后介绍的文本设计输入法设计后生成,这样的设计方法称混合设计输入法。 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 本章小结 Quartus II原理图输入法深入 原理图输入法中Max+plusII老式宏函数的应用 原理图输入法中LPM函数的应用 原理图输入法中的层次化设计 EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * EDA技术与VHDL实用教程 * 作者: 苏莉萍 陈东 廖超平Company Logo LOGO 作者: 苏莉萍 陈东 廖超平 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 第3章 Quartus II原理图输入法深入 EDA技术与VHDL实用教程 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 ? 要求 进一步掌握Quartus II原理图输入法。 ? 知识点 原理图输入法中Max+plusII老式宏函数的应用 原理图输入法中LPM函数的应用 原理图输入法中的层次化设计 ? 重点和难点 Max+plusII老式宏函数在原理图输入法中的应用 原理图输入法中的层次化设计 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 引 言 本章在第二章的基础上,对Quartus II的原理图输入设计法作进一步的讨论。本章主要讨论原理图输入法中的Max+plusII老式宏函数的应用、LPM函数的应用和原理图输入法的层次化设计。 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 第3章 Quartus II原理图输入法深入 原理图输入法中Max+plusII老式宏函数的应用 3.1 原理图输入法中LPM函数的应用 3.2 原理图输入法中的层次化设计 3.3 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 3.1 原理图输入法中Max+plusII老式宏函数的应用 在Quartus II原理图输入法中,可供使用的元件库除了基本逻辑元件库以外,还有Max+plusII库和LPM函数元件库。 EDA技术与VHDL实用教程 作者: 苏莉萍 陈东 廖超平 Max+plusII库中收有Max+plusII老式宏函数包括加法器、编码器和移位寄存器等74系列器件。 综合使用基本逻辑元件库和Max+ plus II库的元件,可设计出大多数传统的方法所能设计的数字电路。对于用户已有的用74系列器件设计的数字电路,用户可根据原有的电路图很方便地用Quartus II设计出适用FPGA/CPL

文档评论(0)

130****9768 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档