四人智力竞赛计数抢答器.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
四人智力竞赛计数抢答器

四人智力竞赛计数抢答器设计任务与要求任务:要求设计一个四人智力计数抢答器。 要求:四人使用抢答,当其中一人按下抢答器,将封锁其余抢答器,数码管显示一位二进制数,同时倒计时开始计时(0—99)秒,如果在倒计时间内没答完题报警器开始响。总体框图根据设计要求,本系统应具有第一抢答信号的鉴别和锁存、答题计时、动态显示和声光提示等功能。为了完成上述功能,该系统应由抢答鉴别和锁存电路、答题计时电路、数显驱动电路和声光驱动电路等组成。抢答器设计的关键是准确地判断第一抢答信号和锁存。在得到第一抢答信号后应立即进行电路封锁,使其他组抢答无效。形成抢答信号后,由数显电路显示抢答组别,由声光电路提示超时抢答。输入电路判别电路声光数显控制电路输入电路判别电路声光数显控制电路声光显示数字显示计时电路选择器件1、装有QuartusII软件的计算机一台。2、7段数码显示管。3、芯片:使用Altera公司生产的Cyclone系列芯片,如EP1C12Q240C8芯片。4、EDA实验箱一个。5,下载接口是数字芯片的下载接口(JTAG)主要用于FPGA芯片的数据下载。6、时钟源。Cyclone的性能特性 Cyclone器件的性能足以和业界最快的FPGA进行竞争。Cyclone FPGA内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 功能模块1:library ieee;use ieee.std_logic_1164.all;entity feng is port(cp,clr:in std_logic; q :out std_logic);end feng;architecture feng_arc of feng isbegin process(cp,clr) begin if clr=0 then q=0; elsif cpevent and cp=0 then q=1; end if;end process;end feng_arc; 此程序为抢答鉴别模块feng该模块主要实现抢答和鉴别的功能。在主持人发出抢答指令以后,若 有参赛者按下抢答器的按钮,该模块将参赛者按下按键的信号输出高电平给锁 存模块。 图2 抢答鉴别模块的仿真波形clr是低电平有效;当cp来个下降沿时,输出q将一直为高电平符合模块要求。2 :library ieee;use ieee.std_logic_1164.all;entity sel is port(clk:in std_logic; a:out integer range 0 to 7);end sel;architecture one of sel isbegin process(clk) variable aa: integer range 0 to 7; begin if clkevent and clk=1 then aa := aa + 1 ; end if; a=aa; end process;end one;此程序为VHDL程序该程序生成的符号及仿真波形如下: 图3 片选信号模块仿真图当来一个脉冲时,输出将增加1,符合模块要求。3 :library ieee;use ieee.std_logic_1164.all;entity lockb is port(d1,d2,d3,d4:in std_logic; clk,clr :in std_logic; q1,q2,q3,q4,alm:out std_logic);end lockb;architecture lock_arc of lockb isbegin process(clk) be

文档评论(0)

almm118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档