大学教师的教学设计 郝老师.docx

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
大学教师的教学设计 郝老师

教学设计方案 姓名 郝广涛 职称 讲师 课程名称 VHDL语言与FPGA 课程性质 专业核心选修课程 教材 《EDA技术实用教程—VHDL版(第五版)》潘松等 科学出版社 教学班级 自动化15 教材章节 第3.3节 4选1多路选择器及其VHDL描述 授课时间 2017.10.18第1节 教学基本内容 一、复习上节课内容(2分钟) 1.复习2选1多路选择器的基本结构。(0.5分钟) 2.复习2选1多路选择器的基本功能。(0.5分钟) 3.复习2选1多路选择器的VHDL描述。(1分钟) 二、引入新课(2分钟) 1. 4选1多路选择器的基本结构介绍,包括输入端口,输出端口,通道选择控制信号。(1分钟) 2. 4选1多路选择器与2选1多路选择器结构相同点、异同点,包括: (1)相同点。(0.5分钟) (2)异同点。(0.5分钟) 三、讲授新课(26分钟) 1. 根据4选1多路选择器的基本结构,讲授其基本功能:通道选择控制信号的4种组合对应的输出。(2分钟) 2. 讲授4选1多路选择器基本功能的VHDL描述,即CASE语句。包括: (1)CASE结构。(4分钟) (2)“WHEN=>”语句的含义。(2分钟) (3)“WHEN OTHERS=>”语句的含义。(2分钟) (4)“NULL”的含义。(1分钟) (5)其它注意事项。(2分钟) 3. 讲授4选1多路选择器VHDL的整体程序结构,包括: (1)引用库部分,包括: a.程序包“USE IEEE.STD_LOGIC_1164.ALL”的含义。(1分钟) b. “SDT_LOGIC_VECTOR(1 DOWNTO 0) ”含义。(2分钟) c. “STD_LOGIC_VECTOR(0 TO 1)”的含义。(1分钟) (2)实体部分PORT端口定义。(1分钟) (3)结构体部分。包括: a.信号定义方式、数据对象和数据类型的知识。(2分钟) b.并置操作符的符号、举例说明。(4分钟) c. CASE结构属于顺序语句,执行过程。(2分钟) 四、学生练习(12分钟) 1. 在QUARTUS II 软件上,使用CASE语句实现4选1多路选择器的功能。(8分钟) 2. 在QUARTUS II 软件上,使用IF-ELSE结构实现4选1多路选择器的功能。(4分钟) 五、总结与布置作业(3分钟) 1.总结本节课主要内容。(2分钟) 2. 布置作业。(1分钟) 教学目标 掌握4选1多路选择器的基本结构。 掌握4选1多路选择器的基本功能。 掌握4选1多路选择器的VHDL描述,主要包含: CASE语句。 IEEE库标准逻辑位与矢量。 信号。 并置操作符。 教学,重点和难点 一、教学重点: 1. 4选1多路选择器的基本结构、功能原理。 2. 4选1多路选择器功能的CASE语句编程方法。 二、教学难点: 1. 4选1多路选择器的CASE语句编程方法。 学情分析 自动化15班学生为大三学生,已经学习过C语言、MATLAB等编程语言,学习了数字电路、单片机等专业课,已经掌握软件、硬件及其结合的一些基本知识。 本课程是利用纯VHDL软件语言来设计电路,该电路能够应用到实际,具有与硬件结合的特点。 自动化15班的学生共有31人,男生21人,女生10人。通过前一段时间的学习,大部分同学对于本课程有了基本的了解,能够接受这种以软件设计硬件的学习模式,但仍有一部分同学对于VHDL编程语言感到陌生,不能掌握其基本语法,对于由VHDL语言设计形成的电路心理上不能接受,动手编写程序、编译、仿真的功底较薄弱。 对此,在学习本节课时,采用以下步骤: 复习与本节课内容相关的上节课知识,重复以前的设计流程,以引导学生尽快进入学习新课的环境。 对VHDL程序进行重点讲授,细化每一条代码。 结合VHDL程序,讲解对应电路功能原理。 教学方法与教学模式 依据课堂教学顺序,依次采用如下的教学方法和教学模式: 复习回顾法:根据本堂课所要学习的4选1多路选择器的基本结构和原理,选择与其具有相似结构和原理的第3.1节2选1多路选择器进行回顾,使学生巩固旧知识的同时,为新知识的学习做铺垫。 演示讲解法。根据PPT上4选1多路选择器的基本结构,讲解其基本功能原理。 3. 实际编程序演示讲解法。根据4选1多路选择器的功能,使用QUARTUS II软件进行实际编程序讲解其每一条语句的含义。 4. 学生上机操作练习法。利用机房中的电脑,和学生的笔记本电脑,按步骤的指导学生在QUARTUS II软件平台上使用VHDL编程实现4选1多路选择器的功能。 5. 练习讨论法。在学生上机操作过程中,让学生充分的练习并能够师生、学生之间相互讨论。 6. 总结提高法。经过理论学习和上机实践,总

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档