基于VHDL语言嵌入式微处理器设计.PDF

基于VHDL语言嵌入式微处理器设计.PDF

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第 17卷 第3期 河南机 电高等专科学校学报 Vo1.17No.3 2009年 O5J.】 JournaloflienanMechanicalandElectricalEngineeringCollege May.2009 基于VHDL语言的嵌入式微处理器的设计 冀红举,张孟超 (河南科技学院,河南 新乡453003) 摘要:详细介绍了用 VHDI语言设计可逻辑综合的32位嵌入武微处理器及其实现过程。微处理器指令系统构 架采用MIPS结构,设计上使用结构化编程方法,将微处理器内核按照功能划分为不同的模块,采用VHDL语言 设计每一个模块的内部功能和外围接 口。所有的功能模块组合起来后 ,通过EDA工具进行微处理器 内核的逻辑 综合和功能仿真。最后,在可编程逻辑器件上实现完整的微处理器内核。 关键词:VHDL;嵌入式微处理器 ;FPGA 中图分类号 :TP332 文献标识码:A 文章编号:1008—2093(2009)03—0013—02 过程中所需要的控制代码。 引言 A,B和 Imm都是临时寄存器。A、B分别接收来 V¨DL语言灶一种用于电路没计的高级语言,主 自于寄存器 REGS【R—s1和REGS[Rj]的值。Imm则 要用:]:描述数字系统的结构、行为、功能和接 口…。接收来 自于指令寄存器的带符号扩展 (sign—extend, 除了含有许多具有硬件特征的语句外,VIIDI的语言 ed)的l6位立即数。 形式和描述风格与句法足十分类似于一般的计算机 3)执行(EX,Execute)阶段,主要功能是执行指令 高级语言,使得VIIDL语言编程灵活方便。 计算出相应的结果,或者依估计状况条件值进而执行 目前,可逻辑综合的微处理器采用流行的VHDL 相应的分支。其中,ALUOutput是算术逻辑运算单元 语言进行设计 ,根据微处理器所能够完成的总体功 的输出端 口,Cond是表示状态条件 1位输出,用于分 能,采用 自顶向下的设计方‘法,将它分成不同的功能 支条件判断。 单元,每一个单元实现…定·的逻辑功能。本文就是基 4)访存 (MEM,Memory)阶段,主要功能是把上…一 于VIII)L语言来设计 32位 MIPS嵌入式微处理器。 级送来的结果存人数据存储器。LMD是一个临时寄 存器。 1 微处理器的总体结构设计 5)回写 (wB,WriteBack),主要功能是把一些数 微处理器的总体结构设计 J,分五个阶段完成,据回写到寄存器堆。 执行 条·指令即可执行完毕,具体如下 : 2 主要功能模块的设计 1)指令读取(IF,InstructionFctch)阶段,主要功能 足根槲程序汁数器 I】c(ProgramCounterregister)指出 2.1 微处理器时钟 的 前指令的地址,从外部指令存储器 (Insturction 在设计微处理器的功能模块之前,需要决定如何 Memery)取出一条指令,存放在指令寄存器 (IR,In.逻辑实现和处理器锁存数据。微处理器中有两种不同 structionRegister)。PC自动增加,指示下…条·指令地 的逻辑元件: 址。PC足当前的程序计数器,它是32位的只读寄存 1)ALU、MUX、CONCROL 元的元件都是组合逻 器。NPC(NewProgramCounter)是~个·新的程序计数 辑电路。它们的输出仅仅依赖于当前的输入状态,没 器,它是…-个接受下一条指令地址的临时寄存器,它 有内部存储功能; 内部的值通过选择器Mux3回写给PC,IR从而可以得

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档