EDA-洗衣机控制器设计.pdfVIP

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
佛山职业技术学院 课 程 EDA 设计 题 目 洗衣机控制器设计 系 部 电子系 专业班级 电子系工程技术 学生姓名 学生学号 指导教师 年 月 日 2013 07 1 洗衣机控制器的设计 一、实训课题: 洗衣机控制器的设计 二、设计的内容及要求: 1.设计一个洗衣机控制器,要求为: 1) 洗衣机控制器可以驱动洗衣机进行洗涤、漂洗或烘干; 2) 洗衣机控制器可以设置洗衣机的工作时间,工作时间最短1分钟,最长1小时, 在工作过程中,工作时间以倒计时显示,若时间为0洗衣机停止工作; 3) 洗衣机在待机状态时,洗衣机控制器可以设置洗衣机的工作方式和工作时间; 4) 可以暂停或停止洗衣机工作; 5) 利用三个数码管显示洗衣机待机时的设置时间和工作时的运行时间,利用一位 数码管显示洗衣机待机时所设置的工作方式运行时的工作方式; 6)利用三个LED分别表示驱动洗衣机进行洗涤、漂洗或烘干。 7) 洗涤时,电机中速正转;漂洗时,电机慢速反转;烘干时,电机快速正转。 2.洗衣机控制器可以划分为状态机模块、计时器模块、设置模块和显示选择模块。在 QuartusII中输入各个模块的代码,编译综合,仿真,完成各个模块的软件设计; 3.把各个模块组合起来,综合编译,仿真,完成整个控制器系统的软件设计; 4. 选择电路方案锁定管脚,把程序下载到实验箱中,利用实验箱进行硬件实现; 5. 以EPM240为核心,设计洗衣机控制器硬件电路原理图和PCB版图。 6. 完成实训报告。实训报告包括: 1) 设计的任务和要求; 2) 模块的划分和系统总框图; 3) 各个模块的实现,包括模块的作用,模块的输入与输出情况,模块状态图,模 块的代码以及注释,模块的波形图; 4) 系统的实现,包括系统总原理图,系统的波形图; 5) 管脚的锁定关系; 6) 电路原理图和PCB版图; 7) 实训总结。 三.设计思路: 1)状态切换 → 有限状态机 2 → )按定时时间及时 定时计数器 3 → )显示时间 数码管译码驱动器 4 → )接收设置时间 时间设置键盘扫描器 5 → )接收设置模式 模式设置键盘扫描器 6 → )切换显示运行时间和设置时间 二路选择器 7)切换显示运行模式和设置模式 → 二路选择器 8)控制电机 → 电机驱动器 基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部 分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控 制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。 对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现 整个芯片的功能。顶层和中间层多数是由VHDL 的元件例化语句实现。中间层由无刷直流电机 控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流 电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。 洗衣机控制器要完成的功能: 1)控制四个功能状态切换:待机、洗涤、漂洗和干衣; 2)按定时时间进行计时; 3)显示时间或显示设置的时间; 4)接收和保存设置的时间;

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档