74LS160计数器.docxVIP

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
74LS160计数器

实验九 74LS160计数器 一、实验目的: 1. 了解计数器的基本原理。 2. 掌握集成计数器芯片74LS160工作原理及应用。 二、实验原理: 1、74LS160 为可预置的十进制同步计数器,其管脚图如图所示: RCO 进位输出端 ENP 计数控制端 QA-QD 输出端 ENT 计数控制端 CLK 时钟输入端 CLR 异步清零端(低电平有效) LOAD 同步并行置入端(低电平有效) 2、74LS160功能表: 三、实验内容: 1、利用同步十进制计数器74LS160接成同步七进制计数器。 设计思路: 列出七进制计数器的真值表: 计数顺序 电路状态 等效十进制 进位输出 C Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 1 0 0 0 1 1 0 2 0 0 1 0 2 0 3 0 0 1 1 3 0 4 0 1 0 0 4 0 5 0 1 0 1 5 0 6 0 1 1 0 6 1 设计该电路要求在6时进位,即在输出为6时给输入端置0。 由真值表的逻辑函数式: Y’= (Q’0Q1Q2Q’3)’ 化简得: Y= (Q1Q2)’ 于是得设计电路: 2、试用同步十进制计数器74LS160接成16进制计数器。 设计思路: 74LS160是10进制计数器,要做成16进制计数器,先要做一个比16大的计时器。这里用两片74LS160接成一个100进制计数器,再通过置0法实现16进制计数。 设计电路: 四、实验分析: 1、通过本实验,让我进一步了解74LS160计数器的基本原理。基本掌握集成计数器芯片74LS160工作原理及应用。 2、设计电路时,注意思路清晰,结果简单易懂。

文档评论(0)

zhengshumian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档